summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorChristian Pointner <equinox@spreadspace.org>2013-04-01 03:09:26 +0200
committerChristian Pointner <equinox@spreadspace.org>2013-04-01 03:09:26 +0200
commit8f166b79cf3038b3f0a87219f6b7474ccccbe974 (patch)
treee49776e9644b78345dc9f13a860e8f79f347207e
parentadded kicad libs (diff)
first spacing tests
-rw-r--r--contrib/Dual-USB-WE.pdf1412
-rw-r--r--contrib/LTC4415.pdfbin0 -> 359800 bytes
-rw-r--r--contrib/kicad-libs/opendcp.bak278
-rw-r--r--contrib/kicad-libs/opendcp.bck3
-rw-r--r--contrib/kicad-libs/opendcp.dcm2
-rw-r--r--contrib/kicad-libs/opendcp.lib58
-rw-r--r--contrib/kicad-libs/opendcp.mod234
-rw-r--r--hardware/8port/opendcp8.brd2798
-rw-r--r--hardware/8port/opendcp8.cmp101
-rw-r--r--hardware/8port/opendcp8.net180
-rw-r--r--hardware/8port/opendcp8.sch365
11 files changed, 5395 insertions, 36 deletions
diff --git a/contrib/Dual-USB-WE.pdf b/contrib/Dual-USB-WE.pdf
new file mode 100644
index 0000000..a0ee8a0
--- /dev/null
+++ b/contrib/Dual-USB-WE.pdf
@@ -0,0 +1,1412 @@
+%PDF-1.5 %
+1 0 obj <</Metadata 2 0 R/OCProperties<</D<</ON[8 0 R 43 0 R 77 0 R 111 0 R 145 0 R 181 0 R]/Order 182 0 R/RBGroups[]>>/OCGs[8 0 R 43 0 R 77 0 R 111 0 R 145 0 R 181 0 R]>>/Pages 3 0 R/Type/Catalog>> endobj 2 0 obj <</Length 27567/Subtype/XML/Type/Metadata>>stream
+<?xpacket begin="" id="W5M0MpCehiHzreSzNTczkc9d"?>
+<x:xmpmeta xmlns:x="adobe:ns:meta/" x:xmptk="Adobe XMP Core 5.0-c060 61.134777, 2010/02/12-17:32:00 ">
+ <rdf:RDF xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#">
+ <rdf:Description rdf:about=""
+ xmlns:pdf="http://ns.adobe.com/pdf/1.3/">
+ <pdf:Producer>Adobe PDF library 9.90</pdf:Producer>
+ </rdf:Description>
+ <rdf:Description rdf:about=""
+ xmlns:xmp="http://ns.adobe.com/xap/1.0/"
+ xmlns:xmpGImg="http://ns.adobe.com/xap/1.0/g/img/">
+ <xmp:CreateDate>2011-06-23T07:16:59+02:00</xmp:CreateDate>
+ <xmp:ModifyDate>2013-02-15T15:51:27+01:00</xmp:ModifyDate>
+ <xmp:CreatorTool>Adobe Illustrator CS5</xmp:CreatorTool>
+ <xmp:MetadataDate>2013-02-15T15:51:27+01:00</xmp:MetadataDate>
+ <xmp:Thumbnails>
+ <rdf:Alt>
+ <rdf:li rdf:parseType="Resource">
+ <xmpGImg:width>256</xmpGImg:width>
+ <xmpGImg:height>176</xmpGImg:height>
+ <xmpGImg:format>JPEG</xmpGImg:format>
+ <xmpGImg:image>/9j/4AAQSkZJRgABAgEASABIAAD/7QAsUGhvdG9zaG9wIDMuMAA4QklNA+0AAAAAABAASAAAAAEA&#xA;AQBIAAAAAQAB/+4ADkFkb2JlAGTAAAAAAf/bAIQABgQEBAUEBgUFBgkGBQYJCwgGBggLDAoKCwoK&#xA;DBAMDAwMDAwQDA4PEA8ODBMTFBQTExwbGxscHx8fHx8fHx8fHwEHBwcNDA0YEBAYGhURFRofHx8f&#xA;Hx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8f/8AAEQgAsAEAAwER&#xA;AAIRAQMRAf/EAaIAAAAHAQEBAQEAAAAAAAAAAAQFAwIGAQAHCAkKCwEAAgIDAQEBAQEAAAAAAAAA&#xA;AQACAwQFBgcICQoLEAACAQMDAgQCBgcDBAIGAnMBAgMRBAAFIRIxQVEGE2EicYEUMpGhBxWxQiPB&#xA;UtHhMxZi8CRygvElQzRTkqKyY3PCNUQnk6OzNhdUZHTD0uIIJoMJChgZhJRFRqS0VtNVKBry4/PE&#xA;1OT0ZXWFlaW1xdXl9WZ2hpamtsbW5vY3R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo+Ck5SVlpeYmZ&#xA;qbnJ2en5KjpKWmp6ipqqusra6voRAAICAQIDBQUEBQYECAMDbQEAAhEDBCESMUEFURNhIgZxgZEy&#xA;obHwFMHR4SNCFVJicvEzJDRDghaSUyWiY7LCB3PSNeJEgxdUkwgJChgZJjZFGidkdFU38qOzwygp&#xA;0+PzhJSktMTU5PRldYWVpbXF1eX1RlZmdoaWprbG1ub2R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo&#xA;+DlJWWl5iZmpucnZ6fkqOkpaanqKmqq6ytrq+v/aAAwDAQACEQMRAD8A9E6ToVoml2aHSrFisEal&#xA;iF3IQCv91iqK/Qtn/wBWiw+5f+qOKu/Qtn/1aLD7l/6o4qhNN8r29nHMklhZ3HqzyzIZPiKLK5cR&#xA;gtGx4pWg7eGKov8AQtn/ANWiw+5f+qOKu/Qtn/1aLD7l/wCqOKqKeXbVb2W4Ol2JWSOOMR0GxjZy&#xA;T/dd/UH3YqrfoWz/AOrRYfcv/VHFXfoWz/6tFh9y/wDVHFUvvfKi3Gr6beR2NjFb2ZmaeAcgZTJH&#xA;wQGiCMqtS3xITWlCN6qph+hbP/q0WH3L/wBUcVd+hbP/AKtFh9y/9UcVUbzy7azxKi6XYoRJFJyo&#xA;OkciuR/dftBaYqrfoWz/AOrRYfcv/VHFXfoWz/6tFh9y/wDVHFVO50C2ltpYk0uwjeRGVXp9kkUB&#xA;+GNG29mB98VQ2ieVo9P0i0srmys7ye3iWOS6kA5yFRTk1YjviqN/Qtn/ANWiw+5f+qOKu/Qtn/1a&#xA;LD7l/wCqOKqFr5ctYPW5aZYv6srSCoGwanw/3R6UxVX/AELZ/wDVosPuX/qjirv0LZ/9Wiw+5f8A&#xA;qjiqWx+UmXzBNqT29o9nJbrBHpxRRHGytyMgIj3ZuhqMVTL9C2f/AFaLD7l/6o4q79C2f/VosPuX&#xA;/qjiqjceXbWWW2caXYqIJDIy0HxAxulP7rxev0YqrfoWz/6tFh9y/wDVHFXfoWz/AOrRYfcv/VHF&#xA;Us8w+T49U08WttaWljKJY5BPHsf3bcqHjGlR7Voeh2xVM/0LZ/8AVosPuX/qjirv0LZ/9Wiw+5f+&#xA;qOKpN5g8v2Ethq4OkWHL6g3B+KkqSsu4/dYqyjT/APeC2/4xJ/xEYqr4q7FXYq7FXYq7FXYq7FXY&#xA;q7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FUp1r/ePV/8AmAP/ABGbFUfp&#xA;/wDvBbf8Yk/4iMVV8VdiqGv5ruNIltFjaaR+IEpYLsjN1UE/s+GKtmS/G5hip/xlb/qnirF18ys4&#xA;9aKcFLousMRMlY2haSRizMAtCqlRuP2cVTXSNbkunNnCI7iW2TjJP64IcpRS3wqx+I7iuKphazXz&#xA;XlxFcpEkaLG0HpszMQ3INz5KvddqYqiyQASTQDqcVdirsVdirsVdirsVdirsVdirsVdirsVdirAf&#xA;zVm/KkRWsXny5SAmOVrP450lMcbxzy8Pq/xmjQRnbfYU64qxezl/5x41DWr7SLe9f9Kz3X1a7t3m&#xA;1KF/XYvAUQyFAgq7KTGQu4r1GKs1n/KPyHcP6lxYSTScQpZrm434osdWAkAY8V6nFULdfkf+Wt0A&#xA;LnTZJj6sc5ke7umkLwq6xcpDL6hCCRuILbdOgAxVG6V+VHkTSp4Z9P04wSwCARsJ52NLZoniryc1&#xA;4m3Tr1AocVZdirsVdirsVSnWz/oerf8AMAf+IzYqj9P/AN4Lb/jEn/ERiqvirsVQWo3BjuLGONPU&#xA;mklbgm4HERPyZjQ8VFRv8h1OKoW7uhAbTT7q5aW81I+ksYC0oq85mFFUgcA1CfbFV0l/ZxSzGS/N&#xA;LVJBcSExj0zWMitABXfaoxVFtNErxWhuyLiYM8R/d83VaVoOND9rsMVQ9rPNHqctvdfbaOP0JqfD&#xA;IOcx41oq8woqwHzGKqPmuzju9IeOSB51QmTghUEFEZgSW7VGKpxirsVY7qWq6jpekR3jyi5nFyIX&#xA;ieiCQeqycUKRtRiB329xiqZ/XNW/6t3/ACWT+mKtfXdW/wCrd/yWT+mKt/XNW/6t3/JZP6Yq765q&#xA;3/Vu/wCSyf0xVBi91m+vZNPa1+oW4B9S7EytIRxUkRKqmh/eDcnbtiqHv1v9IiVqzahC8qKGMkwk&#xA;jVq82kKtxIBpQ0HyxVPPqMP80v8AyOl/5qxVStYDDqFyBLI8bRxMsbuzhTVwePInrTFUZirsVeZf&#xA;nLY6NdXOgHUltGaGR3t/rcmoIQxubRaoLFlBIqP7zo3Gm3LFXm6PoGqaZd3GntolxN9XC2nqy65K&#xA;scUF5RlmdRUKGjickKvJuTdCDiqJ8o+bfy+0nzJFqPmO80REtYJGsLnSV1e4ClTbQRfHKskL8IYF&#xA;DMoqpH+tir2X/lZ3kQ21vcpq8UsF0xSCSJZJQWWRIWX4FahEkqjfxxVAL+dP5ZGcQPrSwyei1yRP&#xA;b3MAWJJTAzMZYkC0kUrQ74qmFl+ZXki+uBb2mqLPI0kcI4RzFfUml9GNS3DiOUh49cVZNirsVdir&#xA;FvOugW2r207zzTRfo6JL2AQtwBliE3HnseS0LAr0NcVZFp/+8Ft/xiT/AIiMVV8VS/WFspkgt53U&#xA;yevBJHCWAZqSD9nuKVxVKNVFmmp2nOGOy9NXZA62/wAfJW5MeTgFI+A5UNfiG47qqreXoNVvrTVL&#xA;uGSzkgjQi3Xgod2dZHEtK8wOAUBvE4q1J5O0c61c3H7xVv7YxyWytxjUpIr+pHT7DVbtirv8F6bF&#xA;ZaVGj3DzaQIFjnRoxNOsDKaTFgFYNx+Lp1NMVQ5kt31glreP1klVBY8YORXlKm3xc+XA+qe3H/gs&#xA;VR2uJDHpd5w0hmrbyHmi244ngfGRWqPbFU3sSTZW5MZiJiT903VfhHwnr0xVq/lmhtJJIeIkUDiX&#xA;pxFSBU1aP/iQxVivm2S4PlFZENbg3QZSgqOfrMRxB5d+mKodr3Vlms1uJ7y3V5uNwW+GsATaQL8T&#xA;Aluuw9gMVTa5j8vTWNyWmM0ohcmaZnRj8JpUngK4qyPFXYqk31HVnvr2W3vorcNKoI+rhzQRJSrF&#xA;wcVavtMvZNNuPrtzHcyR8pbd/SaPhxTpRJN9x/DFUbI+pR3VqpaF4JXZJvgZHAEbMvH4mH2l3rir&#xA;rK59e9uG9N4iscSlZAAermuxPjiqNxV2KvNvzjv5rI6VMutro0EYkluGd7hFdI7m0LE+gj/ZWq/F&#xA;/N86KvLPLnnB7KxtbzWPPqXEDxO0UrS6rIJOd8WUbW9u32Y2joQaU6caDFV09/5pg1O9sbTznatq&#xA;noS2vpXF3rDKr3cZ/wBIjHoyKnopFG0YHLiWYuxqtVVmsT+Ybm6ge088MlnJaRmWt3fxxx3d/ZGa&#xA;yb1ltkpFRAzNJJ8K0LcXoWVZb+Xv5geatQubPy7p+q6Jrl5EkYnuZ49UjuDDCStwxnmi9O4cMaKe&#xA;S9CSDXZV6F5fH5lLf2ya1Z6LDp3H/SmsTP6gPpkgRq447S069vfFWXYq7FXYqlOtf7x6v/zAH/iM&#xA;2Ko/T/8AeC2/4xJ/xEYqr4qkusWNzJqtheIqehC6CRyx5glwAAtONPi3Na4qj7pU+v2Tl6ODIqp4&#xA;goST9FBiqLxVRev1yLfb05Kj35JiqtiqEdUbUQWehQRsi+JImFPuJP0YqlvnO+urLRHlt3VGZuDl&#xA;2RAUZWqAXDVPgMVT3FUDrZcaTcmOJpn4fBGih2LVFCFIapHXpirGdcW5/wALWhDoifW4qIyGq/vj&#xA;WpDIP+FGKskOoxcS639sygV+FeR6V/Zk32xVCXOr6Y8Ra5uYJIkBZudtIwoBvQEnFU1CXtN5Yq16&#xA;+m3T/kZirXC+3/fRe37pv+qmKsXvLBZNRvWfSo7gmUfH6b7/ALpN/gYr/HFUTDpjNpGoW8OmLE06&#xA;uqoFC85GiCq4aRyVA6br8sVRUVk661Bd/Fxb90R+74VWEggcTWoKkdKfhRVb5XREkvQhUqXBAR0k&#xA;C/G/w8kAG3SnbpiqfYq7FWB/mdqflm0utFt9X8zX/l26vGnTTl08OxuGHpcwwWGdCVqoXmP2u+Ks&#xA;MtPM/wCUVhHMG/MDVH+sSrCJZ5bjgPSdouK0hWPhVqO4225V74qj/L+t+Qb5kurPz7rN4mohdPi9&#xA;X1Y4xMV4hgGtoxHIRIrcm8A3jirJR+Vcwa7/AOdw8w+ndyrK0ZuomCKpasUXOFuCHmBtv8I361VR&#xA;Nt+Wxt7trhfM2tuWhEHCS6Dig9P4qlOfI+lu1ank2+KtR/lxcR3dlOvmvXTHZzRzmBrwssxRy5Sb&#xA;kp5I9eLL0p0pirM8VdirsVSnWv8AePV/+YA/8RmxVH6f/vBbf8Yk/wCIjFVfFUNf209xEiQzegyy&#xA;xyFigcMI2DcSCR1p1xVIdVk1hdTtPVu7e0YK/o8VJ58lPOnNXqy8Uoo/mxVWnuvM0dzYTyCNYHVU&#xA;nt4UeRg0zxx8mQ0qEL1JBHFak16Yql9zeeY/0rq1mJUDw2xnt7kIVjRWZAyByW4vwXlQg7mtaHFV&#xA;dtY194tE1BYW9C+jiW4smgkWVGuGQEyxgyGMR8hyYn4d616YqoQXNzY6ssE1yvI3AS0tpZBtzklV&#xA;Y6mLnxEbcozy/wAnwGKskv49QnspoY4ofUkjZVLuxVXIPE0CbgGhxVFx+p6aerT1KDnxrx5U3pXt&#xA;iqne2qXdrJbSEiOUcXI68T1p9GKsY84RK/lgQFgokvRGC1KfFOyjbbFVp8p6kLizunW1kNpcfWSg&#xA;UtI54BAnqNxG1NjTFU6vLnWJLSeNNNq7xsqj1k6lSBiqa4q7FUkMWrSajdxwagkFXDLGbYuKCOME&#xA;8y4B6jFVrJrcMd1JNrCLHAwqxtBRV4Kx2Dkn7WKteX7S7ltre5muOSJc3UyJwZC4kkkUM1XanLlz&#xA;CkfDWnbFUytLeG3vJkiBC+lEdyWNeUndiTiqMxV2KvOvzceRG0uX63d2cUKXMpez1S20stIHgWJJ&#xA;DcU5oWfdgw4jY8uQBVeZWd1rFvNaTi+15lty8Uvr+atKeEyRnkYZv7xyRLyVj9pV70FFVR9zBrEz&#xA;WAh1HVRbPDb22p/VPM9h6ccrm3io/qIG9R+SSVi4sS/w7uAVUAdVvINKN/ca/rVvei6Zk09/Nmln&#xA;lbSfu5pw78oysbKVRC1A67cSWxVkmi6hLImlWd75gv8AT7S6kh1C7upvMNhPLDHa2vqIvIB2lgnS&#xA;ASScWWvPkQRWirMdG0Ty/LqFqtj53v8AULxZpLwW41RZ/WjR4g8bQqSDEhi4niopyap+LFU18teR&#xA;59Emjll8x6xq5jeR+Oo3KyqRIoUKyokYISlV8DirKMVdiqReYkvmtdUNvNFGgsDzEkTSE7S9CJI6&#xA;fccVTbT/APeC2/4xJ/xEYqr4q7FUJeQSm4trqNfUMBYNFtUiQUJUkqKj37V70xVSXULOW5gmWZAn&#xA;ouWqwBXk0dA2+xxVJ9Tu7RP0+jzIr+ncChYA72lv2JxVPJbm3W/TlKg9OKT1KsBxq0dOXhXFVH0I&#xA;tRuPVZAbRCODGhMjxmRQQQxoELclNK137Yqp3Oi3k6TJ+kZU9WRJOaclZQhQ8RxcLxb0/i27npXF&#xA;WrLQpre9huXvXlEMYiEXEKrKqlVLUO5+Lriqb4qk0+hXd1py2N1flk9USzSwoYnceoZCtQ5pUkdM&#xA;VRX6I/5fbr/kb/ZirX6I/wCX27/5G/2Yq3+iP+X26/5G/wBmKu/RH/L7df8AI3+zFUE+jS22pJc2&#xA;VxI900crMk8hMchHpqFchSQKdwNsVWQW2u3MWpJeJbFp3VRbrIzRR0iToxiVmJ8SPo8VUbpdtqNl&#xA;YQ2pjhcxChZZGUbknYemadcVV7WO/wDrtxNcCJYnSNIFjZmb4S5YuSFH7QpTFUXirsVYB+a+tWWm&#xA;xWQvfLNn5itJYLx52vbq0tlgWFY3VSLvZ0ll4ByPsUqQdhirCbXzX5RmlhtY/IvluZY1jtorW31X&#xA;SJZUNw3J7dISqKGaRmFAwDnvTFWW6HdflFq+ihtQs9BsZ541lv8ASpLiwn4KqoyGQxs0bp6cEbqe&#xA;nEA9MVTeTy1+UcluLJ9O0NoFUKIDHa0C85WVQOw5wy0HireBxVGWnkT8uZGWW00LSnaBDbepFbQM&#xA;VTiUMTMFrTi1OJxVMdP8reWtOuVubDSrS0uUVkSaGGNHCuxZlDKAaFmJpiqaYq7FXYqlOtf7x6v/&#xA;AMwB/wCIzYqj9P8A94Lb/jEn/ERiqvirsVS7UrDUrmTla3wtVKBKcGcg8g3IfvEWu1Ps4qpw2GsQ&#xA;fVgLuGYxKySSSxyciHdWr/eNXZabnFUHNZ350/XnmaOS6kWdYlj5JGQ9rEF5V5kH4PfFURfT61Ej&#xA;3UsNvAkVpJylSZ5SkrcTshhTkq8djUV8BiqzRZNWnvZ5pL9LmyQ+l6Sqo4yKgqVZUXkGqD9JptQB&#xA;VPMVdirsVdirsVdiqhf2cd7Zy2kpKxTKUcrSvE9R8QYb9OmKpWfKlqZhKbu55CQzU5R09QlTzpwp&#xA;WqDFURBoht3hMF9PHHF6pMdISHMzc25Exk/a32xVFWVpJbet6lxJctNKZecoQFQQFCD01QUXjttX&#xA;xJxVE4q7FXYq7FXkX5/TapGNDW1OnCzlM66gdTOmBBF6lv8AZ/SLrUd2VAa0APE8TirzvTI9Z0y9&#xA;e/Mnl+aS2EBu7j0tAtbgT/XIuUZdHcLxicx8io+Md9sVU72380wzMIdI8tNNPEywywJocfFHgMrx&#xA;qHYswarL9vpybofhVV7++8y+nHLfDy9c20MM8t1Ix0MRXqSetPbRx8mkaJFMpCs32ufI1qwKr0q3&#xA;87ad5aFnZ+WPLGlx6VfXQF7JZ6np1rHDJK8cQkZF2diGAoDXYL3GKoy2/NvUZXtkk0GCJrmX6uqf&#xA;pjTmYShkjdQBJ8RR5KMFqdulSBirL4fOnk6dHeDXtOlSPh6jJdwMF9SvCpD7cqHj44qiLDzL5c1G&#xA;5Nrp+q2d5cqpcwW9xFLIFVzGzcUYmgdGUnxBGKpjiqU61/vHq/8AzAH/AIjNiqP0/wD3gtv+MSf8&#xA;RGKq+KuxV2KtMqspVgGVhRlO4IOKoeHTNOhtpbWG1iitpyxmhRFVGMgo9VAp8XfFWotK0uKJIorO&#xA;COKNQscaxoqqqigAAFAAMVV4oIIQRFGsYO5CALX7sVX4q7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7&#xA;FWEfmV5f0fVzp36S1HTLBLb1HUanb2txzHqwFzGbn7FFXgSv8w8BirDNL8r+VbCyntb7zl5bntrn&#xA;n9bRbHS4Umk9UTgzIzSK3GMcfGgDHffFUys/yf8AMJe3nn1/TLtUiMQc6FYVkiYOAvJeiFTECq9Q&#xA;vvsqqN+TutT3EJ1DVtLv7SGKSL6tJodioNUZYKNR2URVBpWjb9jTFVNPyc8yRWItLbWdGtAojdZI&#xA;PL9orCdFp6tPU9PZviUBB/VVF6b+UWpQa5baleX2kXAiljknEeh28MzqhVyonEjOp5pVWPIivjvi&#xA;rMYfIvkqEyNFoOnoZv70rbQjlup+L4d90U/QPDFVXTPKHlTS7+TUNN0aysr+YMJryC3ijmcOeTcp&#xA;FUM3I7mpxVN8VYb5+uvNECldEto54JYgupvJSsdv+85Mu4348v8APqqyvT/94Lb/AIxJ/wARGKq+&#xA;KuxVxIHU0/txVQu723tI1ecsFY8V4o7kmhNKIGPbFUC3mnQlmeFrkiWNnR1McooYq8v2f8kn3G42&#xA;IxVE2+r6fcTpBDIWkkT1E+BwpHFW+0QFrRxtWvXwOKoyorSu47Yq7FXYq7FXYq7FVE3tmrFTPGGU&#xA;gMC61BJ4gHfx2xVp7+wQ0e5iU1C0LqN2HJR17ruMVV8VdirsVdirsVdirsVSjXz5SURt5gaxUenI&#xA;sRvzCB6btGsgHq/sljGG9+PtiryjU/Jvli51y4Fr5w8t2diPUmbSRp+kyupNyw5s7H1AFUrCx7sK&#xA;1DYqynR/LP5mG3ea28/299p89ui6a8WmWYjQjj+8VovhdSAQB0piqOHln80fr1rN/jWL6rFGVurU&#xA;6XA3qvVqOHDoy9V2Hh3xVRv/ACp+bUskrWnnuG3Rl4wp+ibduBAb4mZnbkSWHYAUG3WqqpceWfzV&#xA;k9Ew+dLeFkjRZV/RcLq8iwCNn3kBHOWspA6bKNsVdp/lb81IIbgXnniK7mkctAx0q3RYlrsoVHHL&#xA;6T/XFUxsNF8/R+YkvL7zLb3GiK8hbSYtPSJnRo+MYNwZZGHB/i2G/wAuirKMVSnWv949X/5gD/xG&#xA;bFUfp/8AvBbf8Yk/4iMVV8VdiqE1SGGezMM0aywySRLJG4DKymVQQQdiDiqSobe6sYStvp1sCKiP&#xA;1I3XjxPDYxbb0OKoeeO5FwI4X0pUkSQ8GKHbkaUIiH2fUXrWvh1qqiLeORJlkm/RkihCHjDoKtRR&#xA;UH0QRVlJ696b7EKo7QVt+U0sNtDamVQ0sdvxKFxLKrNyVU5E8dzTFU3xV2KuxVCajbtcC3iEksat&#xA;L+8aFmRqBGNCy70rTFVT6jD/ADS/8jpf+asVQc/lrRp52nmhd5nCh3M01WCGqhqPuAe2KrD5W0Qx&#xA;el6UnpEBSn1ifjQLxApz7LtiqOFhAAAGlAHQetL/AM1YqpRW4g1FeEsrLLG7GOSR3UFTGBxDE0xV&#xA;FyyCOJ5CCQiliB12Fe+KobTNRS/t/XSN4hWnCSgb7IbfiWHfxxVF4q7FXYqw/wDMPyTrHmiOzTTd&#xA;UtdM+riQTG606DUeYZo3UJ6zL6dGi3p128MVYw/5M646yP8AprTEuZZQ7sNCsnVoxNJKUPqc23Lx&#xA;78iaoDWpOKp7pPk3z9pq/VrfzVbx6ZFGIrSxi0u3jSGlq0VVKFdvrLLNSnbj0OKpv5X0bzpYTSN5&#xA;g8xJrUbc/TRLOK0C8hEE+wWPwFJD135/5IxVkeKuxV2KuxV2KpTrX+8er/8AMAf+IzYqj9P/AN4L&#xA;b/jEn/ERiqvirsVY356ur+PS0gspjbSTOjNcopeRFjljY8F91rv2xVINOtfMwsIxbapCsS2728MM&#xA;t6UMci0SOQgWxJ48T8PfFUYsfmJJ4EbU7WRxE9XN44LEFKk0iAH0Yq29r5mMagaxAsgmZ3YXpoYi&#xA;rBYgpgPRiDyrXbFUN5NbWE1e4ilvpJLa6o4Q8W9NyZZX9ORoxzVi4P2dqnfFWZBLxNTgX6y0ls0M&#xA;xkjdUqXDRhCCqrsAzVxVG4q4iux6YqxHXLS3tPK9h9UT0ZPUtQkyMVkUsftctyevfFUb6kRnuIEf&#xA;U3a2k9KUruvLir7Hv8Lg4q1LeaXA0aXeo3lrNM4jhhlYh3cglVRQrcmNDQDfFUY9tZIUD6rMhk4+&#xA;mGnUFvUPFKVG/I7DFW57O0t+P1jU54edeHqTha0FTSoHTFUFFd3lpq08MMN3qscRZG4GAmFjHC/F&#xA;pJpIftBq8RiqYi/vZrVy2mXcDktGELWhcCn94KTOlN9qmvtiqC07UntrbUpJLS7ZbWV2laT0TIeM&#xA;SOaBXo3tTFU9RuSK1COQBoeorireKuxVhP5k/lxN5xW0aDVDpk1pFPCj+kZVYXDwM3IK8RpS3405&#xA;d69QMVQ1l+S3lEwq2rpLqF8WkaWZbi6giPqSiSiQLMUSgVVNPtU3rirM9H0mx0fS7XS7BDHZ2caw&#xA;26MzSEIooBycsx+k4qjMVdirsVdirsVdiqReYr2G3tdUWRZSXsDT04pZB0l6mNWA+nFU20//AHgt&#xA;v+MSf8RGKq+KuxVh/neBoZlvTK3pyxxwGONWLqElJYqVqSWEtNlqKfcqgNZezXULcab5fupVtogF&#xA;me0lWEmEq0KUK+p1Zqmnbviqotv5cnuEmfRtQhe5WWSSJ7JucbGUN+yjL132LdRiqFWS3a+tZpfL&#xA;N4ltbFYo0FoxkEUBcxMdqEllBIr0PjtiqOt7e3ufM729l6lrbxywThJIpI6Mp9VwqSCNgH4022/H&#xA;FU/s7ln8xXUJmMvoxkqnZAxTb7K9we5+eKpxiqE1eb0NOml9X0OIFZd9gWAPRXP4Yqx7XmLeUtLY&#xA;kkl7IktSp+z1ptiqInuUt9dk083F0rX8izH0bduG6LGP34qFoIx/nXFUbd+WbS8MBubm5k+rSCaH&#xA;lLULIFKg0pQ7MRQ7Yq7ULKf1oFt7b1YY2thuxACxzAkn96n92vxD4WqcVTC6sbW6KGdSxTkFIZl2&#xA;cUYfCR1GKse1fR59S1kBViZUinhM04jZkL+g4dE9I14dAC4+YxVMrLSZ7JZ3k1FwjqTRFSONKF2L&#xA;BX9RQfj7UGwriqXTXEmnabqcscramt1csoccBwVrdQxrGvE8eJrt+OxVZPirsVdirsVdirsVdirs&#xA;VdirsVdirsVSnWv949X/AOYA/wDEZsVR+n/7wW3/ABiT/iIxVXxV2KsX89My21qyoZGDghFIBP7y&#xA;PYVIH34qpT/mPp9sUNzp9zBHKrPFLJNp6qypx5Ef6X/ljY7ntUYqiB5rd7i3kOkXSlopKo0+n8lq&#xA;ydaXdO1euKoa3/MW3nPpjR71LnkyNbNNpgkDJyqNrwqdkrUNTFVmkaiNQ82PdCCS3VkQKkpiZjSN&#xA;xX908q9dutcVTXT1P+JL12mEjPGR6StyEYVlAqOTcWYb9BtTvXFU8xVAa/6/6GuxBtKYyFIYId+t&#xA;GZkVdu5OKsc1yGU+UtKb13ALWPwKE4j7PSoY/wDDHFU6nvNSi1WGyS2u5rdwC9+v1f0lr2YEBtvY&#xA;YqmJt5v+WqXr4Rf80Yq2beU1/wBJkFfAR7f8Jirvq8v/AC0ydKdI/v8AsYqlKSwR64beTUOE7mTh&#xA;GxhDv8Fv0Urv9GKppJbyG3mjMrSmRCqh+KgVBHVFBHXFUgttGig8tXdnqAFLQvKeEshAIiDAl/3b&#xA;PQHfkN8VZMrKyhlNVYVB8QcVbxV2KuxV2KuxV2KuxV2KuxV2KuxVKda/3j1f/mAP/EZsVR+n/wC8&#xA;Ft/xiT/iIxVXxV2KsQ862US3C3ZLGSeJIVVKBwYpSyMGO32pu+2KqVxZ32lG1inurGK3kCtLLcJB&#xA;G3JnJn482FeAao64q3LpvmkX5CxWxUCUQt6MO6CVRyYV6lKEYq36eqG/trIT2BuWSI3UHC39RHbe&#xA;YBK8jwT4htviqHstIVNeTT55P3sMsMivxUBkhJmjCqCafYPvirLRDDHqqMp/eSxSkgknZXj6A9BV&#xA;vxxVG4qp3FvFcQtDKKxtTkK0rQg9vlirGvMgRPK+nIKKols1UfIjbFUVJFCt7dP9WvUkMpKzwhjV&#xA;eIBoWJ25A9P1Yqg9Qtteme0OktdrHHOGv1uX4F7fg4KRiq1flxP2l6dcVTqWHWz9X9KZFK+h69SB&#xA;Xi4M+3pt9pNlow3xVU1KLVXMX1CVYwA/q8iBUlfg6xy9G69MVSHWfLlvrertHcxRFIIpoojcI9yG&#xA;EnoSMwrJH6bK1AAAR3r2CqP0rydo2nesYoEX1zWSOJfSjryduXEEkt+86sT0GKo2DQNFgEyx2cVL&#xA;hucwcc+TFQhrz5fsqMVR6qFAVRQDYAdAMVdirsVdirsVdirsVdirsVdirsVdirHvMuqWtrHfW0nN&#xA;p7qxb0o40eQ8V5qztxB4opkUMx2FcVTrT/8AeC2/4xJ/xEYqr4q7FUBreh6frVg1jfIWiZkcMp4u&#xA;rRusilW7fEgxVKE8pfCPUZ2laBraV1uXQOj05/CsdPiK/P3xVSbys6X1osbFYo4pQ0ZmLFhVAPiM&#xA;VdsVVm8oRNGsREnppM1wii7kAErKylto/Bzt0xVW0Xydpmm3Fxej1WvrugmlM0jEIhb00U/BsA33&#xA;4qmyadarcpdAM08aPGjvI70SQqWFGYjcov3YqicVcfbriqTXGgXN1pUGm3V7zjjMfqSxxBJHWPtX&#xA;kwWu24GKor9Ef8vt1/yN/sxV36I/5fbr/kb/AGYq79Ef8vt1/wAjf7MVd+iP+X26/wCRv9mKr7PS&#xA;ra1mknVnlnk2eWVizUoBTwp8IxVGYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FUi8yafY3drqL3M&#xA;CTPb2fqQM6hijgSkMtenTFUZZ6jaxaZBJJ6iRpCrO7RSBQAgJJJWlBiqDTz15PkKiPV7Zy8X1hOL&#xA;g8oaqPUFOqVdRy6bjFVRvOXlZJ1gbUoVnYMyxFqOVQgMQtK0UuoPzGKpit/bsoZRKVIqCIZSCD/s&#xA;cVVYJ454/UjrxqV+JWU1UlTswB6jFV9O/fFXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FX&#xA;Yq7FXYq7FXYq7FXYq7FXYqlOtf7x6v8A8wB/4jNiqT2t9qkmr22juHfTpdK9eSMrEZi/NY6Gq+j6&#xA;ZVjsW5V7UriqG/wjo1tpV1NY6NbQXUUdwlu8lvYxwrwld0EhRQVjEg5NT3PXFXnreUSupaUyaF5M&#xA;NleEDUAyWvqPGCkdbb4Srn12cbqakKK13xV6t5avNRu9IillmE0gaROenPayW4VXIjCkk7iPjy96&#xA;4q67PmQaLdnRg7aoGm+qC69D0C/qt/ecPip8sVYIvnH80op7mK61Dyz6kbSiOD66kbVhkCsshJcq&#xA;VCyhqKaMvzAVTzyvqf5n6hNDcXU+lXukNJxludMkVzxUSBwhLSIXVxGNz/N7DFWW2sl/JGzMbokS&#xA;SL/x69FkZR+AxVJr7VPNKebrDTbWW2XTZIjNeRTzQDUiAJBWCBRxKcgvxk9mFO4VT/8A03/l6/6d&#xA;cVYxGv5qtMksc+mzafKVMYYPHOsbCXdyEZGb+5bYD9seBxVCwx/nibezaWXQxOYpfryD1womAPo+&#xA;m3A/AxpzqKjtXFWawW176Efr3b+vxHq8FjC86fFxqhNK9MVVPq03/LXL90X/ADRiqWa/H5hjsR+h&#xA;blX1F24wpd8BCfhZiHKRlhsvbFWHWif85DFovrT+XFWjetwa6qSahOJMZ48didjy6fDirtV1v8zr&#xA;WORotT8vRlOELieVh6Ny6RJwkoBy/fy0H2TxZe/VVTtdR/Oe6lENtf8Alm4kSImRIJppH9RaKTw4&#xA;LxSvuSKgb9cVekfVpv8Alrl+6L/mjFWMebvM935b0291J/WvLe0PJ1XihC8Y9gy28kfVz9tl9q5X&#xA;lyCEeIuVotJLUZY4okCUu9IvM/5rQ+X4bWSV471rrmTHZ3cUpiWNQztLW2ULTkBStSegyjJrIRA6&#xA;+52Gh7B1GoMgBw8P86xd8q2VvLP5h61r8D3MOlXMFoqqyztLHOGLDkE42ttO6njv8QGTwagZOQaO&#xA;0ezDpSBKcZS7hxfpiE48v+YNX1XUhDMHtIWt1m9GRZFuULMw+NZLSJOJ47fF8q9sh1jJvq03/LXL&#xA;90X/ADRirvq03/LXL90X/NGKu+rTf8tcv3Rf80Yq76tN/wAtcv3Rf80Yq76tN/y1y/dF/wA0Yq76&#xA;tN/y1y/dF/zRirvq03/LXL90X/NGKu+rTf8ALXL90X/NGKpbqsbpY6wGlaUmxO7hRT4Zf5QuKpLZ&#xA;3Mh80WFil5cqi6QZ5tG6s9ZY0juRP6vwhKMnCu/KtNsVTV7cSaVdxmC5UP8AWVLNLzUVdxUp63xf&#xA;LvirwiZPJsa6Hf3/AJr06SaOOeVLuTRYOcphuGo5JkJhCySKrU4F6FlI3OKvY/ItlBb6TPFA8t5A&#xA;Ll2iMEa2KxqyIwj9NZRy68uXevtirGfzF8yfoaOAr5muPLCq0xnBtjfmX15njhIAduJV1YinWm4p&#xA;irz/AEqXydd373WpeaoNZuON5BLK2gxJM8tsr3d83IKW4mFn9Qbhq7Hkd1UbYeYItNtLTTtL/MSK&#xA;xSS4lVfq+jLHFNIjRSSA8ZvTVyJhzYdQwKgUqVXullH+5b/R7r+9m/3f/wAWt/xdirC9RGkTfmtY&#xA;Ib3hq1vCgj014A9yYjDdM4S8MlUD1D8Q23D/ACt1Weel/wAu11/yP/6/Yqr6d/xz7X/jFH/xEYqk&#xA;XnHybN5jeyaPVZ9OFm5crCiMHrTryFe2KbbvvKN5c3b3H6VaXmFHC8tre5CcRT938CBAe4p1xW09&#xA;0+1NpYW1q0hlNvEkRlIoWKKF5EDpWlcUOuf760/4yn/k0+Kq59uuKsOttI81ql9LPpOhNc3LTbR+&#xA;shlWYRBvWkMchJYRnntvxT6FV2haN5isdaWcaZpNpYSyOLqeL1frrwtCGUuasplNz9sl2qvcnfFW&#xA;X4qwf8xfL1zrOg6xHBEJWWKXZVWSb+4jakUYieR2PHZUkSp23yvNj44mLl6DV/l88ctXwm6/FvCd&#xA;N/LXXJJvQtrDU7bnPCfWutLmZODt+7V+YClVb4pQVI6cgKZg/wAn/wBL7P2vXH204vqxdOk6+P0/&#xA;Lu3Z9o1/PaaRb26+UNVqqRmeRNLhgBkkKo7+k1gxU13YCtB7DM3FjEI08lrtZLUZTM35Akyod1nd&#xA;Ovy7u7tfNENu/l7ULKA2jIuoXtksb8ozUrJcC1hry5DiC9TTfl2tcN6tirsVdirsVdirsVdirsVS&#xA;PzFZxT2uqM7SApYnj6cskY6S9QjKG+nFUtsbVRr9mn6PYtJpQYN/x4gJIlat6W07c9h/KD74qir6&#xA;Jbby/qVw+nI6Qx3cjJaVe5IQyEiFRF8Um3wDxxV5PbxWqavpNutt5ycSqAkzw+nAAZJFJuQ1vGym&#xA;jEbpWlCvx74q9D/LXTGj8qQO1ve3And5VfzAyi+AagoyrEOKinwg74qv1/Xrfy9awSy+Wp9Va4nm&#xA;RF022a6ZKTUJkpGAi0etWPQHvtirzRoo72KN0tfPGnRRD43WEVke3WEcyPQllcv6v2V+FuL1UgGq&#xA;qf8AkeeK38xtbtpHmW4+slrT/c3H6lnE0R5etzEdF5UADDqN+m+KvS7Ky/ct/oFr/ezftf8AFrf8&#xA;VYqxrUxHB+YemQvpl7K89q3p/VwP0VGQXBa4cRqfUofhBrTrirLfqX/Lha/8F/16xVhz+SvO91FP&#xA;NZ+aYLCKeOM6fbLpVrKtuBxNHaQl5SVqCajtSndVF2vk7znHFMk/mO3neS1aGKX9GWyNFcFRS42+&#xA;F+LVohAWnWp3xVkGi6NLb6XBDqrW9/qKA/WLuO3SBHYsT8MYLcQBt1OKo79H2H/LNF/wC/0xVj3n&#xA;jT7X9Bn0oJo39Rfj05ALobNvHRHr7inSuKvONM01b+Ky8vQv5us5ri5FwdXuSFfZXlCSTSwOgiDf&#xA;BREoaAGqndV61Z6UbazgtjawTmGNIzPM/KR+Cgc3YRCrNSpOKq31L/lwtf8Agv8Ar1irvqX/AC4W&#xA;v/Bf9esVY1qnkFNa1WS9Op3ukmHlD9U06VUgfnBx9RlaPdx6tQfFV8MVWWv5VWUF01w+u6xOWRUE&#xA;Ul1+7BURjkFVRufS3/1m8cVUl/KWAWcdqfMmtEI7OZjcJ6pDoiFOfp14jhyHcFjviqrd/ldHc28c&#xA;J8w6tF6cUsIkiljR29XnSRisYq6ep8J9l8MVVx+WtkWJm1bU5AZFkCi4MYAUzVT92FPFvrG+/wCw&#xA;lKUxVRH5XW4097Ia9qwVkMazeuhlUF1YkSMhflxQpyrUBiR8VGCrVt+VlrBBaxrr2ru9vMszTyXA&#xA;d5aSNJwkDIUKHnwI4/ZoOwOKspstHs7azgt5EW4eGNY2uJETnIVABdqACrdTiqv+j7D/AJZov+AX&#xA;+mKu/R9h/wAs0X/AL/TFXfo+w/5Zov8AgF/pirzzzT5S8ualrSyXXmq90K5jt55f0baXaW0Jgt55&#xA;C9yUpWq8qEk8aL02xVM/MsOo3cP13y7PEmlS6cZrhoyirKknxxvTg/NfREgoCu7A1xVJ4L3zMPO1&#xA;rbjSIP8AD8NpHEmpi5tRbyGQRNK0lof3wkj+IKef7Jp9o4qy2K3sZbOaL6zZRGRp1EixqHXlIw5K&#xA;TJ1HUYq8o1ryx5w069Fvps2p6xaadGy298dWtIDcMsDzo0kbLyZjPIIvi5D4RUcdsVeleWfLlpot&#xA;vdRya0NTN3cPdBtRKTtD6gH7mJgy0jWnwrviqY2cdh6LVmsP72XrEv8Av1v+LMVYB+Zun+YL3Urd&#xA;NIgnezitW/0rSdQhsOUsrHlG8TlqlVjUrJ25UA64q9FSLT1RVE9gQoABMak7eJMmKqNnHYei1ZrD&#xA;+9l6xL/v1v8AizFUjuILybzrbkpCmj2kKOl0ksSW7yyJOrrJbK3OTj8H2m41II3XdVkfCw/39p//&#xA;ACKX/qpiqI0+/sBYWwNzECIkBHNR+yPfFUR+kLD/AJaYv+DX+uKu/SFh/wAtMX/Br/XFXfpCw/5a&#xA;Yv8Ag1/rirGvzAnkufLrxaW/r3xkX0Y4J4opa0NSryckUgb/ABAjtiry3RvLnnmHWp7+5i1BmezU&#xA;QwXGt2slmJhGsZXhHQq5ILF/TpXcDFWW+StV80h7DTtX0BLa0ljdrjVby7s7qWOVVBCukLJUO3IB&#xA;gB8t9lWdcLD/AH9p/wDyKX/qpiruFh/v7T/+RS/9VMVY1rnkvSNenuHk1650tlZUX9F3C2i7Ip58&#xA;Rzq1GK71HtUA4qh5Py00SSCGBvNerCOJUBK30au5S3W35O6oHqeHqHehclu+KomfyFpE31unmbUI&#xA;/rRBHC6hBipM81I/gooo/Dp9kAYqhv8AlWulfpF70+b9VYvG0X1ZruAQDlEY+YjSNKPU86j9roMV&#xA;VLH8vNJtLixlbzVqVytl6XKGe6hZJmilMvKaiKW5glHFaFe1QpCrMf8AcB/y6/8AJPFXf7gP+XX/&#xA;AJJ4q7/cB/y6/wDJPFXf7gP+XX/knirv9wH/AC6/8k8Vd/uA/wCXX/knirGrryR+X2r3p1PUYIpr&#xA;1TJCkn1iSMLEHlARVSRVA/esdh1NeuKou4OgaTod1pdnPDDa2+mrbWcLTBjxjSRVUM7MzECneuKv&#xA;/9k=</xmpGImg:image>
+ </rdf:li>
+ </rdf:Alt>
+ </xmp:Thumbnails>
+ </rdf:Description>
+ <rdf:Description rdf:about=""
+ xmlns:xmpMM="http://ns.adobe.com/xap/1.0/mm/"
+ xmlns:stEvt="http://ns.adobe.com/xap/1.0/sType/ResourceEvent#"
+ xmlns:stMfs="http://ns.adobe.com/xap/1.0/sType/ManifestItem#"
+ xmlns:stRef="http://ns.adobe.com/xap/1.0/sType/ResourceRef#">
+ <xmpMM:DocumentID>xmp.did:667497CEDD9CE01190B4EC955EBC3CA8</xmpMM:DocumentID>
+ <xmpMM:InstanceID>uuid:7ed095d4-4d5d-4947-9194-9076374265c2</xmpMM:InstanceID>
+ <xmpMM:OriginalDocumentID>xmp.did:667497CEDD9CE01190B4EC955EBC3CA8</xmpMM:OriginalDocumentID>
+ <xmpMM:RenditionClass>proof:pdf</xmpMM:RenditionClass>
+ <xmpMM:DerivedFrom rdf:parseType="Resource"/>
+ <xmpMM:History>
+ <rdf:Seq>
+ <rdf:li rdf:parseType="Resource">
+ <stEvt:action>saved</stEvt:action>
+ <stEvt:instanceID>xmp.iid:667497CEDD9CE01190B4EC955EBC3CA8</stEvt:instanceID>
+ <stEvt:when>2011-06-23T07:16:57+02:00</stEvt:when>
+ <stEvt:softwareAgent>Adobe Illustrator CS5</stEvt:softwareAgent>
+ <stEvt:changed>/</stEvt:changed>
+ </rdf:li>
+ </rdf:Seq>
+ </xmpMM:History>
+ <xmpMM:Manifest>
+ <rdf:Seq>
+ <rdf:li rdf:parseType="Resource">
+ <stMfs:linkForm>EmbedByReference</stMfs:linkForm>
+ <stMfs:reference rdf:parseType="Resource">
+ <stRef:filePath>C:\Documents and Settings\qlaidebeur\Bureau\Divers\LOGO\LOGO UL BLACK.eps</stRef:filePath>
+ </stMfs:reference>
+ </rdf:li>
+ </rdf:Seq>
+ </xmpMM:Manifest>
+ </rdf:Description>
+ <rdf:Description rdf:about=""
+ xmlns:dc="http://purl.org/dc/elements/1.1/">
+ <dc:format>application/pdf</dc:format>
+ <dc:title>
+ <rdf:Alt>
+ <rdf:li xml:lang="x-default">614008235023</rdf:li>
+ </rdf:Alt>
+ </dc:title>
+ </rdf:Description>
+ <rdf:Description rdf:about=""
+ xmlns:xmpTPg="http://ns.adobe.com/xap/1.0/t/pg/"
+ xmlns:stDim="http://ns.adobe.com/xap/1.0/sType/Dimensions#"
+ xmlns:stFnt="http://ns.adobe.com/xap/1.0/sType/Font#"
+ xmlns:xmpG="http://ns.adobe.com/xap/1.0/g/">
+ <xmpTPg:NPages>1</xmpTPg:NPages>
+ <xmpTPg:HasVisibleTransparency>False</xmpTPg:HasVisibleTransparency>
+ <xmpTPg:HasVisibleOverprint>True</xmpTPg:HasVisibleOverprint>
+ <xmpTPg:MaxPageSize rdf:parseType="Resource">
+ <stDim:w>296.999959</stDim:w>
+ <stDim:h>210.000274</stDim:h>
+ <stDim:unit>Millimeters</stDim:unit>
+ </xmpTPg:MaxPageSize>
+ <xmpTPg:Fonts>
+ <rdf:Bag>
+ <rdf:li rdf:parseType="Resource">
+ <stFnt:fontName>ArialMT</stFnt:fontName>
+ <stFnt:fontFamily>Arial</stFnt:fontFamily>
+ <stFnt:fontFace>Regular</stFnt:fontFace>
+ <stFnt:fontType>Open Type</stFnt:fontType>
+ <stFnt:versionString>Version 3.00</stFnt:versionString>
+ <stFnt:composite>False</stFnt:composite>
+ <stFnt:fontFileName>ARIAL.TTF</stFnt:fontFileName>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <stFnt:fontName>Arial-BoldMT</stFnt:fontName>
+ <stFnt:fontFamily>Arial</stFnt:fontFamily>
+ <stFnt:fontFace>Bold</stFnt:fontFace>
+ <stFnt:fontType>Open Type</stFnt:fontType>
+ <stFnt:versionString>Version 3.00</stFnt:versionString>
+ <stFnt:composite>False</stFnt:composite>
+ <stFnt:fontFileName>ARIALBD.TTF</stFnt:fontFileName>
+ </rdf:li>
+ <rdf:li rdf:parseType="Resource">
+ <stFnt:fontName>Arial-Black</stFnt:fontName>
+ <stFnt:fontFamily>Arial</stFnt:fontFamily>
+ <stFnt:fontFace>Black</stFnt:fontFace>
+ <stFnt:fontType>Open Type</stFnt:fontType>
+ <stFnt:versionString>Version 2.40</stFnt:versionString>
+ <stFnt:composite>False</stFnt:composite>
+ <stFnt:fontFileName>ariblk.TTF</stFnt:fontFileName>
+ </rdf:li>
+ </rdf:Bag>
+ </xmpTPg:Fonts>
+ <xmpTPg:PlateNames>
+ <rdf:Seq>
+ <rdf:li>Cyan</rdf:li>
+ <rdf:li>Magenta</rdf:li>
+ <rdf:li>Yellow</rdf:li>
+ <rdf:li>Black</rdf:li>
+ <rdf:li>HKS 14K</rdf:li>
+ </rdf:Seq>
+ </xmpTPg:PlateNames>
+ <xmpTPg:SwatchGroups>
+ <rdf:Seq>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:groupName>Groupe de nuances par défaut</xmpG:groupName>
+ <xmpG:groupType>0</xmpG:groupType>
+ <xmpG:Colorants>
+ <rdf:Seq>
+ <rdf:li rdf:parseType="Resource">
+ <xmpG:swatchName>HKS 14K</xmpG:swatchName>
+ <xmpG:type>SPOT</xmpG:type>
+ <xmpG:tint>100.000000</xmpG:tint>
+ <xmpG:mode>CMYK</xmpG:mode>
+ <xmpG:cyan>0.000000</xmpG:cyan>
+ <xmpG:magenta>100.000000</xmpG:magenta>
+ <xmpG:yellow>100.000000</xmpG:yellow>
+ <xmpG:black>0.000000</xmpG:black>
+ </rdf:li>
+ </rdf:Seq>
+ </xmpG:Colorants>
+ </rdf:li>
+ </rdf:Seq>
+ </xmpTPg:SwatchGroups>
+ </rdf:Description>
+ </rdf:RDF>
+</x:xmpmeta>
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+<?xpacket end="w"?>
+endstream endobj 3 0 obj <</Count 1/Kids[10 0 R]/Type/Pages>> endobj 10 0 obj <</ArtBox[27.9019 28.208 813.098 566.791]/BleedBox[0.0 0.0 841.89 595.276]/Contents 183 0 R/LastModified(D:20130215155127+02'00')/MediaBox[0.0 0.0 841.89 595.276]/Parent 3 0 R/PieceInfo<</Illustrator 184 0 R>>/Resources<</ColorSpace<</CS0 185 0 R>>/ExtGState<</GS0 186 0 R/GS1 187 0 R>>/Font<</TT0 178 0 R/TT1 179 0 R/TT2 180 0 R>>/ProcSet[/PDF/Text]/Properties<</MC0 181 0 R>>>>/Thumb 188 0 R/TrimBox[0.0 0.0 841.89 595.276]/Type/Page>> endobj 183 0 obj <</Filter/FlateDecode/Length 29428>>stream
+HWn7=AQ|? dђڎYRIx9*vKdb 2,%/y_>xv{^u~y6FU؜~0_7`)iW%h[:~as~XN9;qacӿڹdUQNJ_6[i?P}FI{d/Bg%YKFǀ#%‰,T/6m: 6!#|eDcV[]L91#v)fd]M2qژ
+65."תvDsVb2VdT,KV6CX쮺8".v"._8reV+ڬH:~l,ߑpN;piNщ<zQSLjK')Y؜P VyeVye`J99tL\i,.yd=ʠ/a`WVaΘ=.%v8S0y_yPٳn-{F XL
+{O,27d nŐ\4^wdғ_~sL<3K|ܞSlM.y1mV/ۓ^^no`⯇7ɠ SF[5YC响ٜf^N[|%j X i)ykj&o\.)n/Wobn-N݋)bBm KufoeQl/ JNn\^۷o2 u6|WwT㥺|VZ:|;~@p:t %O V|
+֥4iyPH3<v'zk>aZDcG-!+w|9ݚdËl94Ɔ"z0H.ـ!wz|n}V筮rHitxh0"i{훉%aA7)XL2˭ Cμm=]9o-W#
+~u tO7{櫏4LU{*Ofjx aE\ }3O@7b"[zW!') C0Y6 y8Gt*?Dj\DgȃS;Eg<&e4[mHsѬa~0l;tDRC#<| H0V`+kV@E(nޥQRWʫiϏ@&ZN0$X"12rdlTk* TvmD+QDe. /̤j#8㣈<ՙB}Rzm+ t#2<c rHz'H2=Ԋ+EI(#SJMU=9dEU0llu'z&#ErJ2K<愴0J6I5;+KLzKNDr^BĆbmf@N%dVgZ9D`"<gcsnh nVts$mH)ĶJFB̬o=KJK`zC#.[
+>lmqj%.jrp8ۧj*̋DF3[(O'Bw)1<S.MoRYOu)k8#ίG2R>X-G]z9,$y#IL-SG9u=%OGD(Dc sЅE|&ELj#m<.aj,`v7FPm= F|CDE}<!ȓ<F1B SRbOp1im<RF _9Q+#)5C<<gg{j%
+?\sU-OdŲaGtIXsDuY|eg~4ph(+wܱ$n"silJRwR8K\.MU2^1cPpNjYt3Ⱑ ̔q4{ 4:X346hl\ 3_Db͋Hl\/Ioa9l26&LDT(ʧD`td61[$"7'->zOf.V萱pkoR@&tYYzq슭{#~`P4IP Sl0cᦖ,Hs|'!(3DY!k-o2-w1 IJ$Gx϶eP:PI'&Y[2SiActaAly}|VDŕC"3t:c'F~N:o QҦp5dgZe&9~Iv1b;g9yRӭ]J {I$'CM_:nP)j$u+I
+-N6McB*^4;c@d˒9ꭄdR)XV+}NgK bIҘ:a۠ "6 ~ILx$e@5%FSq IT6*a #R !Sh3elI7>ލ\B(ȋ0t|,3h :PܬF`rw6 WdR\b9u3vP:ń
+ %{̏E0P͎0D
+NOT3=6_'%O-ꆅ])x] ]յF) WDlxb9Vw՘Dc PѣWYIyܧԧuKo)zPnx-k۽0`q[,9&XVE5=XKy.A+bx|vӲi2("$~E5Xrb}#P;VإtׄE
+gr"Ĵ(UVEߒ+ nMV1ћ rwIQ&ʃ唂2,Yϱ A rrrҥf%ڧg=s; z;ֽӞNN{;ï흡+!g`Kd0%82'dNr0|<;?xsl~_ ߏl Br췛¥fs>7Cşȶpݷit N6\|~8^5wmÎVlt~~6=Wpw׻E (S]\L:ۻZCwvwf>NNm-fx}m,xƫƄ-f~fa۫vK[`I̅pO_y vfRn}~U=V&xu~N7ۻv]n us<ܜ>服x7lGz!<T_xkzaw¹-p/as"{P8ps8|-6M9u=ShW:UâCD÷ kFl#}f__5C]Hh?.GX#oO=NwæGl m_ߒSuq뇳b5tҦd6Vz E7?}_5UcsDȌϨ&UdtT&p6 S5 e edfW+BdLW4i f
+O
+G^F?ʯəDCÓ>_J=ҕ .;D5!l__f@3U>M/vMYi@`ޚ?/n,[ A8ŌK3 \Fˋ`
+m[&MԮFNb
+`)肓`,VD=J] [`m$8Ϊ&aJRas&IiQv"r[-Iu.Glۦja܃m'hu=6˞uA>n\2Ȝ8
+z ,lO\ `w
+@j<X>i ANW?I?] u
+uV7(Ⱥmr 0r3~=7rʞ4^Q.SoS)?>q1To
+2lr~@8ύ6w7,s_7tOq#X9=lQ{ sv^pHKA
+jqM*ՒV]3Deɭ%A)^+DGtlB^Ep݋^^2gEz1kF&ʥĴct=W6Yh}Q<hq^W^_{<(@Zk!IBzd
+C\@;w# ݡ
+SRQ#AR.&l=FuFseyuXE`|z{ UY8w ќy-OF<ϟS=*x-HH!.Qu.՜ɞ2s!IFx_Ssk_=hT2X7F_Xn\Uڧd5_5nb׹t:IQ%K"Cs=0NYj=iI` "XQM-C}hJ׋}35oYdj..OAd0(Tr2Jt/GZjY H5ajGKA_vdUJ|c.a P{,vk[!L>"$ׁM+:rE/4mꓽx߾y 9&i9`<Bkuˇ'jm-_MTˆ=??^mmF"t>C֒%˥; z(!9Ǔ
+)q 5MI<OW
+7@B6 6F8h9D/.ts +q <&*`.xN\)*w dj!(əlQ695 "fS6G qXJOǽSyb,&t;!{6OԷrC,ipq㔇
+Go|r{0fB-\.hpt4nV<5H%D`;ńIhhTZ&虂Bdjybd i1$=1LPFZDdc3sLU򤃃
+b5\E`azAYDR
+xoVZwAivl?4fQ@&b[<`Kypͨ1ZVIJ{<9-! 3Se[&, ~$V9/) l!:ksKY!9HC|9 ͪs7wlcyoGԥ!fҺѼ rm[yc, ԓЃV<!XnsT8YaQQQ1GsBAEҩT|`SV}굴݆@IF:_s:/%v3u0ܵB6c@w#A8ka{u|o
+–a])ɷ`a`}\6|Xx7O^bȖG$N9,w{LUdpYEmZIL|dۀ4m XCĜAnWX^"8@LdK_iEZB+vmOyB^ĩ;ԭ-J( -oO}w#U3#-/;qI6?hwhMē"ЭgMEso8kkdG:RHF~\k VYNx0nƀ(g}=/~;ݢ-,xB(|%Y B
+"JgY&PͣC: gH:16Q:$AP'{($dvd\&wԯǥxe֭Fe;ݒmڱ9k< MTA"R",W(&k()Z 4v,EDR|Ʉ |eˇwM@Fp\6GVAYDQ{55#73ts/qyRЭ:cng%Z ?«%a{@`TUc罞ܛ@HǗpvć$hde6{z켭3?>3 #CRDd=ϛ։Cԛ?a|0]tf'ϖ#ճ~w?P ˑcG^;OT)QŎ&LpG3ЇXq핲ز?.ܕBA[ kxUmŧt,vjCZ6Dvy=UM%k?Q<ijH5తHޓuVA:JI :8Ί;ޙfL%WN ʴZ )h|*HQ75u[+`oQC
+ggo߿L:Ej ֹ煾Vc
+sU5oLP7͹؟||W{|{+bՊ @K.~\+ꓸICef,t"k^, `^x--QiLRaxQ+0{U&'uo?52tfB|Ȥ7NhֺChqԪZ÷۹rB$t)8
+,u>NyfNZ4cEbC IpcGCIA&QFo<rJbPpXOpTǑV'}XpgK Ċlzd}N?M1cΜ8$ʥ(r=ѐf5" ݳ,P7qgQu%( ё|-PP ?jC~8E]lBMf}eצVХޛ[n9I]̧q2՚.^$W<t)ޖl~sdٓ?K{^ao/ևDYQ;ĆX"hw,6-JFgҒwFz l[}[/e
+Uc-@$ib݉5ѮʫQ[-7*="{FPWlx9iLByMWV;'o.tDMQ~o,\&H-gRf۩h K|p<w>L<<5H[KצBX6wU'wMIWV旘Ne,¸Y{e6B!4.t*w^*bmDޑ?` \ʕ3&(D4T]|<3 C<2h?wjZAh[v{S;B̘@4Xf滃h x4WILbӠU ,F?p㺹'?a`]ngGTmo R`u胪_j2[3~}ՃG奌5Q}4z*dUʕ\^AK߽x~3' x[g6mfmhB?;]>r[W΃plMo='  fH'[5Z#jJwP!=Y2e^.S^]]+Kc&aq#/?,^=-'J"ڗqnIjɩ?C}!w"ߎRt1X2fe
+8l^ݣٚڶ㵟v12KN7jM??L rI^ DfD+F %J$qWY&ԟB63֧H“'mtI"N&K19C6M 0*`\ N,"ѦͭYC!4ZM-svZ4/jtmYfԎ/O%do57MPgW%]T' lh!11b @ymRYUG]jUgN뾐np267$r[w#;EQl^dv׎D ˍcgWl[t9Di*IڄRBfCw '7Zᄴ,}lxSCqQ7qG0y#Z?:uv6
+eeK> +ǩ5ʍ+#oVM56%SM~z;Sdps?bi8GIm+}Y:+R[41Q
+S|"&*\
+zu[e/@q_fG%m[sm/\KxdDux ={ (Ym%|PLNmn6|o؛GJ/_Mgچy
+,3=Ud_BUV.mb\7aȅ,S N4 0jrƸ[Wش<ѹ L%>iP{ sJ`37utXpT$Ӽ!K&yȘKEvrȆV  :,[ۺ:i3A1*V>A y37 ͦe(JmW®_scl00?_#A_>4PÉiW:7 `m~њ~7i{SNF5nK"#`X mnjw7-e !*l~Zxa9k"gt`
+|5Ӧ^v%I(=cw5WǿCд$Uȯ$-vȿP{?g+q8 ZEF(U@ӚY?ӷ!/`vd"4M鶐3zM[o/ӎV@P4]6ְOƾ?jȝm3u=XiH4W'1 ;ᡊj:9!c,ʒB6 EC.2]Q{ge`틃$cd=8]jсk`
+1_(L;.'\>7<|
+.ځLy =U*δxWz[p<4%6m/˕ztK(WÒ)}5iݼh2U#SIEVJs2l/]`C/`?"dCBP-\(:DQQu59Z֯4<kK.mC[k7; oJ&$?M3nH`(t]OC7/۷}380=Kxo9566uh<d68 eM[_e鑊4nEW
+f)+~=&v'/hqm<U]6gЪ3*MQR5G?xԎ K.Ըb<0qL.7pp+\!5&e !Tl lxIۄ7z-sތRxv_L)m'K XIKf=;]h.i>3T@Z}Bb *~D-~ p?_ /Qͣ%[S7)}+,xt߲-w}q^ M),?dEy7sK:έGwF:E77+F',~1Ωm~?^Z
+3n+p0GYo&#֬ʑ{Ѧk 0[b'kP7uW~XI<`խ9q`4s k:fӽfǍ"b_U j
+^lV$
+}RWKK(-a1S]*~$+ c]4-қf n}!;%N:LiPSυu+琓;o|Mfqf^CGeX<c
+@՚;rdf`hޏy\]ɉnp$;:& ½ϑ`>ѷ!r)'c7(K ͛A<5I0L
+aH☿aM/.Ӳ4X]\zƼb~6 fڜ_)7|އe8m QV@ɂQ3. sF0USsA`QEet*ؚGk0Q1d-j;rBv P$wHZ/l7> e!}qKWaCC _@S{I
+-^jz>q1.u 塢FlmW?ҥm|[t`^r F!ZcQnTu>cRT"MpKrVEdyHiﹿ:o @i!0 LFo=:!#]? ōYBy5TU)Qd3, ƪgk@-Zeo/%x]>=*VIapLu1ʮEfNY2tD0W%`s (V8
+#da
+N7@&Cˀ
+n/Q}'̮ 86QKLxuw5RtэvtB6d}>q
+Ðwj#q}mSA.jQ^I N:FDJ AeB#:O?=ˎ 2z`qV-?W,Qۿ<dw]%uUk I>,N{,ⴲ@ BҝXp6:=`OPKpiױ,
+\B }Pgct1y8muP0lϱ_Ѩlw@VEW5ekTp(<n';`K_ {֜nAr4l0W.u-H< w
+QګBjh@m,0[Kݨ8so6/Ѳ;׬j 0Mt޼L35.N2񏭊'4բFMsa3K`Na. zԿ5;g GfB-6<=K5
+V1G#ƠH  ?ƃw+`1J:{{2.KSt51@NRNEMTzAP!d:+*ʲoT+vF hTƢͱHkq((IqOhvBZՙWEABh94!eIڼ.At9|\; s jպV/D~*$ׇ:BLPKO}pС3a;4l& Ҽ:]}>SzH?~B}@<:Ƹ\յ{8^jQ7P#y:
+%m\Q#*Hprs*]e!Aqx߆mSxbKl@JC&U٪eH,VN7i-H:a xH-R1nzgU%U{mTezɂ MsG?J58ldoIb+@@֢֮37`QܛHeެ+sOrĬ$ƟN^.
+aAf]ӛ3PkH]ׄn\óے';P?͝wk)NjEG2S_H>%wZ4J-{\Z@rV&&O"oi]jZ~
+ڻ<v=˅vGN
+#;{$dy3h\Ȉ9!I(@ ~A?Sis'w\ܛU5Ɠoo
+s!sz3ZitT1sIYx⊍a&y֩vO#6XUIE#R\T "9V߾*#6PH*̇opQvSșjK+m
+^upKnR7Kwe]#-.Û,_J~xG\y\
+("C|q(L~SF_7Mii>B g572>|)Q= \vs8
+rVwUؚEtO4#-5*Hw9O\ ]=@P:Tp~+9PȊMD'AI5cFW G }vQ<Gp8iWRZtqNێp
+OvWpk'qo ppxԻ2nI#MBo
+AO֋wm#rdFzτEZ2o\{6:YtZjSV`ij
+4s~:di6U 7'YBZz|T'-GiUL[渏gi>1˰q\og~BŤ;5Z%^Zp
+<<lBwL'{K&e1)JZ$
+ۤŠ^ -@2Fm̓
+o;_epRVծ?1RD,HAaC@>%<v*
+g Bt@#Lw~8.Sy(# SzҖSQ?+cߎ'u3Sǁ"ǃǶ(o35piw%P`gPFx089grs -2vE<BO u@țן/ !ŲS̏M<anPi0adg'ȅiڢ%ЅgSާ9My~{ޗ_;vQWtЋγarbԚI[.~ D{91XLvnșqW3®з|a~4@i6,s7ǥ ʎQ'դ6O7JRvJ]VM* ilߕSiU9d׸ɇYΤoKv1
+Y.4I^1錢!#k-Q8R5&ji`+OGBϥL6f# *+p)@.tcQlfvEK,(4|(H2 A'.-:)/|ȉˀO^eݳʸ5x3U-ss gC[[~sv6TMmr :b
+5
+}!NY8d;Q> hVž`0JD`r\1(c3Bn@` 3HjUEiU}b wL;Fe@N<L\9G/ c$ 1!?
+`p7\&$J 0QB*j򡂙F)EKZQ
+
+pOGTĩ~|O4z wνŘf9p47xA ;ꖮ-ɉ}P [!;#RaƐB%A2jJz8E2nx-vSI+v]ٰ+;vtu.wܚ@p%*lLr!_㧆j-R ,A -,1Xb2Ąb P,1!Y"$tC2;T$fDPa">TD 0~2# *El[AZ}K; d@v Ok8^TȊf]({</Za!h%ގQ PQ%^nQt{ WKOb
+fTC)bҮL:ffxHR$ɦ
+?3Th,ܡ*\ACB_ singj>+>Xxnŭuҵn
+=;٭4OC'ZCR
+tf`<NH#t9"uD$gOQ%Q Ͼs (E#t9Uw!7eRlm͹Kr7,@M4{ў<2X7
+hokN3^6? K,@Jkyknϒ
+qMvAbʆ.g9(/-qƞ┑ұפvd
+\3"D"*ͪA`7OE SUVRzSzs^h7~i)&H0A4K6ގuZԋTݏo^qH-ގkd<YdZ7i]o&Ԍ2qwb9F3d%^kZL
+}>zsCR\dLj
+ZyC^L`ObuBN&p@ d雤1bo0<<Rѽ[@BbM1^NP|?xVEe+!;E+=/
+*܆f
+\3]cA4L3Km&~wb؊7`Og, 8ss[DDsD[GΪ#0ԀW9N;$%a-8J%HqAE',ϒ:^rΫ%ɲ܄ν;eYA>|n.LHh6b6NI\"Fl[v(Je4k#jBa;M>Q+F2fƒĘ:Z6{Q}d{rޜc~׏SRA7޸4D|ܺȥS;BZ*EmJk \%+t֤U;K&zC4CKXwp|#:b;C-$s"KAufjϓ;;?@> k5c3Rόe2PK]2Q.|#EVUX-)4#o1R>O?QL3'\
+ާ J gWVdN9Јz[9E
+~hTiAdkgS$:P}?-CLV|4=z5aT.%Txr}s$37&m.5d8q.ZP.Z=^LvSy<i7l
+k5Zx0Hi2QؒMV %I6Y[L Y=.YyXmF;Um꟭}-V)ƺ&Y#`J*0'T&iB8h˼{%W;}@ӥUYD8"̮+0v][Žo
+DZz;OHppy^kK| d _O ySL$,r;YٗF/ :@rQY]/;1h,_JvwI*3m]%ŏĒ_"e(c+iULH:2t)@׌ҩV-RF她3< +`gz1V&}KswU v/U݁-CKA7aM<A\< Wȝz.J=\P]c ';'0bEC*乖J/ vR#bLC'1,E
+k` f99c\ c%{??PޛVYb*uK<GPQ^o
+7ޭ7"r%QCSgeEYj_vI@A ]kh?AQQAeOD,ӵϠT:*ZM-ZeCi
+[V ?㎆9WsKpD)1BCִD~;j]ҿ6U-_1|`q>4t?6թ^;
+z+as\:Sr*pC?{ۓJgG 6?RZ?ʹ[Γ/s睳o<|KS](|AV?[56H둍,}cËov#v#8wm#.bF|Gq9#534Șjܑ >7c7X%-16y:?}W||| }6R#v Н+0%3wWW< ؛_ܘ;q˜%,?@(1RĈIC-fkg?:L'gO<R5_]- 9'f`ii%q ӳ!b I#b1_7-ǖ$ͧo7oOè vH54g *4hK0\j8z#9MK^"0wz)lyj*Ml7!l5, !ea8AJMz@CdyJF:m|.'+MRR%2״PNO*JpѲ_e<z%9U],Ǔ;<[ RXF1օMssrP~}%Ϋ)?Q!8c䠉JH3Gb.mN2#7$;b* <wq3>yW',o.KFd.B(^X%4ޗŽKuX B<ޔ“=;řAFY)d*((HnRR¤jAHV}OFVJ8)]$n`@5y*J)~V^Pդm[ui3w(rAo}LЭ`48'n+.db{5oRRq[$!(m^f u&T-1Ǒ'USI}jr57|lD
+|ϒr:1٬nnJ{z@z{]w?֫eב"^,|cكZ-Z3wn@B3 |❑u ᕣ**222ΉNޤ򚾡Ltl6`c?%hd>&a'gZNNMGE!̿"h># bgH ]1@uZ!!PƑigj"*Q7c&U /RA P;{Y >'ހDra$Gi_zj0VL<"2
+eٳgy ܱ,<L8DiE"[Xl4f/\P1p2p|Y0$,ƿ >\{Cuio9yx)tuD!;HUƬp6S>zًUTMJQiB2*ʟLv9V8NY}x[A
+]:g۰( IZ((H}\ľ%u8W1! ǰ.^_&<R0Yh_ 0b$-;L,qУkj|2Qti:[yQ(4/c!5w_gxCmYR"Dl`1橯: 5="N^;ͅKiNUDP359)!e;g,܅`6OZ_n<IǰBΫT_&FJfzWd!g:|0*>JqaGuw5H<h aiIRɱ֚~+'⅀H*,3l͞ifoA#qtv*ԜjqO}%}-ñs;v*۝J$="eKSTeu}
+ S3}["[Z6ML jUy4#~
+Q z%TP5S*=<0 ^{hnVw(w4X6舣3
+X (q[ fFQ*5 @A&R9|Dt /Vi粿T
+lIg/E#@m(KP˖^*H Y!+X{dFR"Y`HLb@u+ \nfC >sv؏YvHCX3F"a
+րpBPH,Mr豏\vUYn^T5E]xl}*~uB-zWu,,WS@?JH,74IkVS6?e(s#{& MӴWC߈z8DHo!<-/8мuM"cQ5TCn55* n-2+6}#f.rX͐G QPݏPs[}M;x!6m |U1[[k ;}˳pR.M΀7f0 dd a6>`רOYe,(yg9}IGR,YBt`B&Dfw$< +)j?$ u4RM|ޜqx%ʍ}&ˇoAO\!
+/! *C7G>͗|@aԼGɡɃo_=gW
+endstream endobj 188 0 obj <</BitsPerComponent 8/ColorSpace 189 0 R/Filter[/ASCII85Decode/FlateDecode]/Height 74/Length 1036/Width 105>>stream
+8;Z\79q(Dk#kTh=\EmJ_Xl^a4k9VU0;#V`2oP0aHR7(nbKL1jMTA14iYQ%TiaS=?5
+hAE*aAOKsBKC;H(PHB10Lb6Q3%E5Lq,!C,+9([#U-PQ1HXu(=4En?C3N"HY!,i[uW
+$o*fRbTa%qBM@p]3Zg(T)Bq%cBW!s6$CJDSa"GgY\c,3jEHF;]F5$J4g+S70g-$YB
+;*7=.S3J5tY&qCEV*%e.b0L8(6&^go%7>u4+?Jp1Zf:@'1VJ4'FZC(O+@"KPO>o"<
+?VW`K]dY+XaRP(Ta&O'k>qduO+UW'td&6r=k#]8)U)#V1H2eI#_kSZT%RG@qLkZf2
+Ga.mO8EGZs>^[E\3\FjsC@CkAA._CdK$bX>T&(s3bB\2M-Z^VG4t.60ZfY--S=<I^
+21s2LS1R]\7q!Ts2@8E0!in9A.[P@EX'/->0>3C@Zt7=N8"Jh,hhEX%%7X<s>oIa:
+q#b9)ot0L5k7R(#H5Y`(P=t<ROo8#9dUPG`W3:!<\Z(iO[N0XgYKP_-qBB?9Nsj+A
+:UEin!0804XgLV,,)Y1-4XR,2)cd/,IqnBB)*,f9aJ7<,QmNR?FC&>=/q=I?l\:5.
+s1!)7%fhZ48"c02iC<NiQ[eKeEp!r0jMtOj^-pIPD\WTC-9tq9hrd>eG\!kaY#Z!s
+r82$-5a8kalFQGsT^TtXr6isOqag*R``"U]qZTCdpJJ7J*C9'<e2$=O(P6lGUd?Ae
+B_6KXOkOO(>rM`q+GuZ1!-g?iQno2Q]7`]h\1^k5S+P?uT!%;Ok>CGXJEDt8^"?,>
+qDo@bp2t0I]Y3bX0sip$(:EQkU@(-q>rCI)]ib<53Vc&nO>m"s.-Nd>73q2"h8%'c
+)BW>c'1A_7REN+l]qGqR,>pX=l[hgrOe<fWMsp00pZM9`c7Iq:X`2"0$X!J(5lV<U
+oBnoi[Da22L)_C9l">g`D]0NY^c-U`!>-B$0C.atl7kupn@n/YLjLtAX:%3&c+'B5
+'"Z5)Kh(aBn7k'hB:VLKSm*@An`YO>l-GtqjSo5"k?M^~>
+endstream endobj 189 0 obj [/Indexed/DeviceRGB 255 190 0 R] endobj 190 0 obj <</Filter[/ASCII85Decode/FlateDecode]/Length 428>>stream
+8;X]O>EqN@%''O_@%e@?J;%+8(9e>X=MR6S?i^YgA3=].HDXF.R$lIL@"pJ+EP(%0
+b]6ajmNZn*!='OQZeQ^Y*,=]?C.B+\Ulg9dhD*"iC[;*=3`oP1[!S^)?1)IZ4dup`
+E1r!/,*0[*9.aFIR2&b-C#s<Xl5FH@[<=!#6V)uDBXnIr.F>oRZ7Dl%MLY\.?d>Mn
+6%Q2oYfNRF$$+ON<+]RUJmC0I<jlL.oXisZ;SYU[/7#<&37rclQKqeJe#,UF7Rgb1
+VNWFKf>nDZ4OTs0S!saG>GGKUlQ*Q?45:CI&4J'_2j<etJICj7e7nPMb=O6S7UOH<
+PO7r\I.Hu&e0d&E<.')fERr/l+*W,)q^D*ai5<uuLX.7g/>$XKrcYp0n+Xl_nU*O(
+l[$6Nn+Z_Nq0]s7hs]`XX1nZ8&94a\~>
+endstream endobj 181 0 obj <</Intent 191 0 R/Name(Calque 1)/Type/OCG/Usage 192 0 R>> endobj 191 0 obj [/View/Design] endobj 192 0 obj <</CreatorInfo<</Creator(Adobe Illustrator 15.1)/Subtype/Artwork>>>> endobj 178 0 obj <</BaseFont/BMKEHM+ArialMT/Encoding/WinAnsiEncoding/FirstChar 32/FontDescriptor 193 0 R/LastChar 176/Subtype/TrueType/Type/Font/Widths[278 0 0 0 0 0 0 0 0 0 0 584 0 333 278 278 556 556 556 556 556 556 556 556 556 556 278 0 0 584 584 0 0 667 667 722 722 667 611 778 722 278 500 667 556 833 722 778 667 778 722 667 611 722 667 944 667 667 611 0 0 0 0 0 0 556 0 0 0 0 0 0 0 222 0 0 222 833 556 556 556 0 0 0 278 0 0 0 500 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 400]>> endobj 179 0 obj <</BaseFont/BMKEHM+Arial-Black/Encoding/WinAnsiEncoding/FirstChar 52/FontDescriptor 194 0 R/LastChar 65/Subtype/TrueType/Type/Font/Widths[667 0 0 0 0 0 0 0 0 0 0 0 0 778]>> endobj 180 0 obj <</BaseFont/BMKEHM+Arial-BoldMT/Encoding/WinAnsiEncoding/FirstChar 32/FontDescriptor 195 0 R/LastChar 89/Subtype/TrueType/Type/Font/Widths[278 0 0 0 0 0 0 0 0 0 0 0 0 0 278 0 556 556 556 556 556 556 556 0 556 0 333 0 0 0 0 0 0 722 722 722 722 667 0 0 0 278 0 0 611 0 722 778 667 0 722 667 611 722 667 944 0 667]>> endobj 195 0 obj <</Ascent 1010/CapHeight 716/Descent -376/Flags 32/FontBBox[-628 -376 2000 1010]/FontFamily(Arial)/FontFile2 196 0 R/FontName/BMKEHM+Arial-BoldMT/FontStretch/Normal/FontWeight 700/ItalicAngle 0/StemV 136/Type/FontDescriptor/XHeight 519>> endobj 196 0 obj <</Filter/FlateDecode/Length 17141/Length1 34016>>stream
+H| XTGǫ_((x›7ȺJXD&QqhPDPK3QBDPDT}Wȡk( LT>s{ׯ_u
++ѓ
+D[x[cLCxQ<DZ-POIt#:),Pw.:ji<gy.3ZxUE ] ?p/PWA܀O>_kh@#bz3ȜQs,ȒZPK"kjE6dKv؅ZSݨ-dOԁ:#9L & vGOrF/r!ԉ\ɍܩ3-ܚH(
+JBFh5SeRa|-fK2Rj%*ma[va!vbgfuvfdzBO= zIxOދ{k!$2CsW?>ܗ m_ޏ+5οgNܟ<(>u3y sy_xy_Ww@eUm}8"2(&(d"oIRs*SY>rxj.{OD!|NsDLD֪zwsgo߷2ivT^fhOޥ3uQy:_3o"K]ԏ3melc^3k\Lsݴ2xtPt4PnP0S9Lezc1}MgL3D3Ęax2ܨ27f2ތ1 Sy4yo-Pխx;G]:g‹l9s
+?d|^ ^/xIJ'Y+V-y˫;8If
+gs]ސ,E,e  4Fzz]hടtrwt_`>
+؝ Fy hLO3ys2
+
+@ZoEhE@I\7-+)V)bZcIF<_{wbJԕFf>OUa -}b6
+rStAŘ.@Ce?9*RT.pZX`ٟ/o[|`6A,"Ӝ_B~bxLpeX%UYɏjULy8N+V PtGV.{p;C7-쌿6Hӛ5A-6 dcO
+ hBQq!xP+cT6@O85 u%$do%9q<1EtX(AuB+x.][I/_ZALWYGS Ʒ (Jl.`֞Jԥ0tk^,*65.HHGZ2AeCc,_ jJbu 3!Cw fоW[6[tokfM4vn԰AzխSZ5kd3VXtthٻenFlnqL[l0? ȿidˑڃ8N:w֝d'X`[d9PWc$@~C 9``&mҤLcnNr24mD$%LiLLgh'r;?hO{~PR j,iRoH|UNKڔ!' !s @JZ% gֶ@Md[u[\jQVb HZ7+`C A <rkBs˭4SUOԑJztMru=lTAq,F7n}6踔.'<Ɇ>fN}aUM*O{5 nIWU:R{zN;-j˪m
+nA>4 Xj2m gyQ~x|lnZsxEѱ KH{6U-mԦf̑YeuQuo";̥^D&1x#-R<Bpgj`ϮKKM@"V`5IP;py'^0zo#0͓YoAD= ?8-hfK(NDSEY"FnL-BVt]Ǧcwcj
+BU>`5vC_A4! ّIj]]axe&9ZS+"/-ȦP-.Q%a(>g9g-hb% ]~&Jkl0'mܨO
+w|j!'.E\RWFo}ѾɍYR6Y|{c|ɩ)2+pe2BxY^bbxQ746|(D?:x>.~ ed[12 ?PY̎~m|e8z\^  K>ID<^~~'<qVLfdF((tjXR"%v73]Y2`n6FLW &u7)tb&xz #Ȳ0nQvLxߣu]s]s_0qo2r5Rɘ=Zfn⮸;a;1!v<;Wy8IӾ}OXVGx!Dyʓ'q"Zz'mE`0~d>wbI8 gc#H8;Ƅ>1PJ(Q)DƕΙI3Xn/:
+QJZBJpJ2b=Z6nD}͈exlpS 9qPa7rXNq㰘DNuxi 
+|(2֖Q\q IEKIs:طݿ`jOП/w_3GysNen?y\9'
+5P~!6 ㈢ @8xYco!/. ?/ؠ31BG=F#L=_ԭa&X͒ .GH,`I=>^S|/oŃ.^'{`v`jz^YΔJl#~{e'Vt1seZ`8>Ϗ9q9 R
+j7c~4;N 0* 8@@
+i+7"P<, u9 j;{sPZ, Ү:MFd^**qS:bWѐ UG#:FD~;#yQj |X[,%_!J\P8&ެ
+ [ ^ &h5s*rH:=q #7{*.L=UԔE'kJJK_׆L?6yyccEC%i'`lO,DOՂ/}rgy Znꪫ+Ynꅚ%8Wdo7-*8emٺxfܺꊺ~i-]95a<;8B<QJha?)%!8xExE(K-t?Ga\QzTy\qLELT]Z opBcA]a<Gh9Y-K%qH9,󢌱vDAy/
+NugMҥDʝ <i$<Fr=0` pPerLn2UNJKdє N
+QXqE,Wy7t8ruʺm{fh_hF<vY3F}r[Ó5v-S8pz3F<kڔ4٥(j'?bo:^CntC-(oorA;u?엃q}s5]-oh].58?t!_83^ RUWh^2&kTZgLTGZ |xPͱqoMϢoQj(whYQp.z/W rPVSv%e*^V|^5X2`rDZgWߴ8\X}~?ho:D2[lo3U}}}v oCC؊nP!ݧ 4*vMw8:i(5cݮ 7+RzC'YTŇ(PXD0z/ )ȢlČF.ʬ;rI40
+Czw{Q
+mɈR XRv$e~V)B>AZѧҊH17tnwIoˍS0»0{LYQ}?ժq+J+i Ci| I#t",c6*h_gX8CU0Oe3<vo#RdcAH[$ܓ ]OBq˒CCQ}8HzG?}Vh'p1bǪI)sIf%PUXsjcUCU1P(q!"'pë%܃8~Ϲ>r{nKPeX*:%GvRI*(U,J1SF1,CQJ2l;wsw~Ɔ
+4x<NVg>rBƲ Ttܹsb>6Y4zu@B`GN:(ˈC,ɠ-jEI<vdr*W: .K
+sai?]A4SEopޣO ڠ˅$=A-)d>]A$8>hIl+aceI< A#`b:"~6^:3
+QZ+.*Bbd;.Kckŵ^~l6+m&f yz8 *i^ Q[2$Z"e$v.mfwGE
+@Ξ?=]yv*C[MyIpe
+޻lX;)ے=ɶ_#G_d_!yHN݀S@.'HOeQ=bP4*&bid4_u?#+wUCLw6 l&o°>Aڏ[\9WxZe.m?7t9+[h
+ULXQ7SjBAnPwiv^8_UiU.^p]]{65յZ[HКhjr_6W[=N{Uc5CUtUU\O :3:uʈpA|,Tճ p h!JX؊9{Qsr!Ol:K7(@Z[~yݔ&k@A.Ö܋*`~zxW[|'7L=m+l~܈qmz֨E?S%9*o씠3⿔0
+̩))H.jsaKJXN6ץ7LJށB,rP0993Nf>^dut ֯X]^2;aW~tqċ$#޲ i<Ԧ+T|Ǟk"UTl\L0 FllsŅ yJc6EPQ)jp#n[0C[]h?]z"5-4P]kD8* Kٙo7nzj+8(x=xwS"P\tw>s(|aȆzZCAӎD"tc5H"EF2BP_!(`""2<%~h5l_+b_Qqb=Q0/ɓ jlr>< 9!@қDh'~ԏ]1l'چt;d\+:a-C{zr ai D鰹0,GR[(`c(^b Bj 2Aa"ٖ6Э%zf;hD"x-&B!~q^7g M#jg*KL}+LG#*4G@1CkQKTu
+SDNAö7N9C#3HنqnJ+&4ʝEF,Z4"X|CTC|+ޔUS8 +_QnUv6;-RʈeɖKn^R
+HS9Z,"|mh`vG>?##)38v9xBfXpD+hJ1
+YeY97}WU]qxsy&⍐gD@hh4hJIHKRi HgiXM@)V 8RjGu(:H[GPps[s\p&Zkc'$2Tt]b=4!St#l%٦mYg$)@&Ϸa 1' 80|{?;3ΊޟY
+?VTR3
+qrpx!t{OJOJOe2bfH"_>.0U%|
+uȱ]{Q$XM'x14*yx8 . ݒ 7^Ѓ*1-~0 ik"!#`*f÷j';Ъe`lSx_/92~'bY;XYAė`>9
+0U2S%*/Zh§T-=*&rQme}]Eo<={cE,gɢ,D^y)$; m
+Ɓ`*1aЌ18JV݁*Zf!Mr̵cEz7ʹFOJr*^iT9@(1
+^3d_#kHD}d%dL>}^yOpErP/@>7 u%Af)퐃1oߤ}5]iq<X,hoĭ-˨[kmt'2ڝO;W+0 bӌu6vQ#CAly.~r҆O!eh$7 O31Ae3x ·}d;ec\}8Ih{z14>̟:ǹ*CsQ`j\ P?: =8kQvjFov> AX }@+lmm8lu݃%|,0Jy @[tYl-k_*fxlSqr2^K;ִ^2Y7_^'<9>nyauzYe.vP<JkTj,\A##a^;L8{w]ld~.[mTzh7ˈ0AѢժ2vX2u_?A{hYH3"`:D%ʟ hWV%M ` mFF0i0!A 8ZiW?؈q?
+a~5۱ޕTXRlwSfkc,uQeAW0ƣ|&5pa|o뿦9ZS^
+;;CG?e =g34RK=~y;HgհG3v;xg=q<D%H;[3'hZyKܚWC?=z_/5NoW['~_9LkY;wxjgh<X%w2*R&@4}or8c"b${~]<<㑧yc(2Zbzu&&A.p2B
+0fʵlN
+
+e-e뽌vnbrԕ8Nba<|ЗKGfDo/^\feK||s/O灝 M&V}
+ߘRQ
+-I7!tӤMJsۑMcjŰ:uզmҤnThD}3B!{ϹϽ|y51گO&k7opΉx~Cܶ->io%n: {Kkҷ-q_@]G&>FN<,:-r>ipNi[cΝu
+λxz+kW{)
+U¾Gq<Ɖ-smX1U /YVV<ӊ{ {g>36[]STl5zZSjѓZ/EMNO7LSISZyI>U7\+355w7Swx{-0w|VFM
+ju|Vz/P
+wo_}0=F R'Eh0a ^6ڻBK |M#5^Lv\Q>=h{0ZZ?^[ bF )a(IQvW#ycՑt>$E[+sw]5YOuPQyE<_+6wAE1I=ZCD3+S=QФ6Ɠx2wDlWIEԨS^ңvz=@
+bj[=-MvlhݺyK`+uo*tDE{U󖱩dtwWO*vnBU(R*ܪ:;TCEc=Ѿ=no@\[i3m]3M=gL1~o~NO_S^y |YyZ00^}
+A2!Aq,CݷZkήxiR
+."CFe7C>yv.إ*8ͬ|-"+i5.eA$kh1w*
+Zr.YNMm|4)ʖ}N 
+Y5o>wΩ= .:{Ջ5`* %rR^LЇA#C%rzuoŻkm/7;1.rYbh.`?0<!Έ7/ⴎB/Fb}8A?ʫ͊75[i; μ@px]FK0{+`_v v\<Kb=
+~'G`pzc:T8(TShu%ao%Rvr;p|z;!R2BBaYFƁifzشQƆ" A,7,#
+endstream endobj 194 0 obj <</Ascent 1083/CapHeight 716/Descent -307/Flags 32/FontBBox[-194 -307 1688 1083]/FontFamily(Arial Black)/FontFile2 197 0 R/FontName/BMKEHM+Arial-Black/FontStretch/Normal/FontWeight 900/ItalicAngle 0/StemV 200/Type/FontDescriptor/XHeight 519>> endobj 197 0 obj <</Filter/FlateDecode/Length 5294/Length1 10094>>stream
+HU XSWK(KPY__\BqRV-ԍb@*TVc[D[}qjMHкtN;c27aԩӹwz{ @G@B" ZdBJY P8? 4b l[5@:=(8V&קRD[Xzf9>0>;%^[_O?ՋdX<?SӞLo
+Λ`aɢKJ˖/[n\rU5kyw a|Xvwdקb]=ݷCt??q3=wņK_|W0-&He$puqgY@bTJ6Ix$T꠲G|g>Wj>G| ~S!S]JZW1E#%tRz(`0NT*
+kMN^8(N
+Ku:RNV39OaN3RdcSUA%Ay9ߕWs j㟬Lu_t;*Fh矦l&v تV/IMOջիbn1uاi-k`-kBzY^׬}[2-EM-u@6{E80(`K9<y9<ed36+'ǦMLY+ SqѦxS)jȎ:aC+x*>8=`38/qxMh2pva;(6ba)Vcl'k뱌$hď
+ZI
+(R(j\Cn@3 걛>b>),qۀpñq[cɕI2C3sC/35=pk6d{ˬ;۶6a=m8t:F4b8d9}fdlfn$BE앳zy,;X2K[)ңw^gw$eCHfo/){IլKY/2v0y;AJXhMp޽z*-Ϸk>ro/ON\;8;ɤ!7&98@P$D|Pyk
+x! z zP>JWCr|Dط!HU[65SbRYFʓ:ծssQŤhPƠC,PGqQ
+ݻx6ZS]nEc]YSgW4ڑTƚ'͖!8Mx'F}l%}_ >`, |XD%
+_9[3pe ܆&Ń/'EŇULU5Ky(.$"K+t E}w< ׭;=/{f<趧fOqԃ
+u CT[,Qԇg__$P^Q4*OJbz:w"hrgs\/㖴0ɺKyףڕvE+m-inU=eyon EMKJq<xt}NS5B:S1MqkCPgiy+`Uh4u͗D#+𞍎xν~ˏW2Ua~ncZ]|ͭ9|q&5^3cɮ5яɗɿ%?yk⇹](.z{ys@pU'LGxDUF3Ev$tLv}VDAn .doNr? ځdF
+c@'~̾ -,@jgD,|
+C:-\œx -p\C3x)S__8.Q45k AyyjЗA7_|g߆, nF쬉/}
+st)ܢ-BϽ=,pbXߢ[{w3uӘc@lF ny*a
+y2k˘xZO8`^?*
+[FEExӸGW;$A-5+yׄاÕ҈ qNal#Tnt//~Zq ?.pyw|Qq2WC.~4}o>3䲐GODZkzNZу~2<B9j?{;K& q,\B/ fA[
+8
+~=!ΨG\d Xj$
+d#b#/YV/)ˀq(_xE
+endstream endobj 193 0 obj <</Ascent 1006/CapHeight 716/Descent -325/Flags 32/FontBBox[-665 -325 2000 1006]/FontFamily(Arial)/FontFile2 198 0 R/FontName/BMKEHM+ArialMT/FontStretch/Normal/FontWeight 400/ItalicAngle 0/StemV 88/Type/FontDescriptor/XHeight 519>> endobj 198 0 obj <</Filter/FlateDecode/Length 23867/Length1 43910>>stream
+H| XTGǫ_*x›7Ep]%,A啸 *!"^ ""FTx7^hf@XfOܞ^_W]
+K3KĂX
+=v􈨙
+f
+}~~_0p௾þ>ېBatؘGN;)nrӦϘfϙ<o/Xl+2WZ&;g6nڼek޶`]ݷ>r'O>s /]rb()qO?߾NP8h;qT+h8 2\G t2d5e,4]li\Z)H*MZ:l']e;ɾ Aro9F%ojvTRkZu'W
+u4*ARqxi:jiB5nV@!FW6J;CQ*D%IIVR4e^)P(Yr] k{iGiô Me@Uu1Q6v3=-5<5,(!N.«X-tn3h,褳J@)Dʐ2,iS:(ݖ*y!/UoUQ*$\Fv[˲-=Љt6
+: VWg4i!ո3J3:d(J~"A綠@'\YutJ2] 6~lka4BjZ:⮬8Qc-z`YEК0$y|2w4{̞b̀s0.Mo/o󄭨^\"|PeK-bJYd}2 E
+k6<}}nzKѻKR@wvN|EwNYKGKS:WEfcm*junUUA|16ۼۨTV~Y;"J
+7D=?`!Dx
+!b`BL)/bs*T4a&|/
+Ocklۢ3"r}{tsx^C5܃2Q"^"WD~
+j:c zzÿނ / w1VQ;3|/%Xш&A33 5fY 5'[#{jAHN؉ZR+쌾ԚP[r&jGɕH4R?yBZ@EԑRh!-h1ʠ2o%ՔEk(rh-l6l![̖l[rD̶lv;NsJUbw]VO='sw=x
+AO˦޶on؏~ n3dNl%fᑭ+_eN%n#{erPwrX9*y/rL 9)9-g@rNOO rQ.I\+R,WDu!7喔m#wgܓ@]VW<_Wy,Oh3m٪ m\گ4Rwܝq܋S9S*{d
+TjִPUc"jmST-2Jh}/_f'3e̒ %ed\'e̗d3O򱬄om,M>SYe߳c88 P_ԗtb}U@dbzpMvs0ׂ&6`贫f& r;&Z;`CQg xi2.5O4d(y=υr8G@Ջ8pCnQ͍ Tz<0[܆N+B|τN_Ca7p܂.B)zCup`(h 177;(GYGJDN k_oswogs/UȴSu`"K}pfuG_5eGmvP5\yfI5ZԖWUgg BG }'Y,vVC?)||G'AcjK[km翂|+# qc_ %Rڣ1`*Qj(
+z|Oy[TZ?#LY'voQ];Gz
+b*G^MA  П(#poB _
+C ሟԔZ*;1n%Rb[?eٟ]b]\I\ש2im'[in,:_Ch'j(UWdѵxRT]讄"ׇ!ꖜ:df~*x|<ޖUS[(-̃HBu *%6qL[u<ߓ2. ԅ~aXweaGd_ XM+^UQ G++ioM
+{G.?1ұ&óg:hIjݓETI-5-{@[Nę
+v|ƫTVJJ/WP\Yʸ֊"C9i9ұc@i9nJxO;Y7=۠g=莡w|;'/.ĽMIJE{~\HowNv 8wJs$MώOpڇAG+zȿ(+>3۽rƦ1`lls 9!.m
+ɪ*GJ)#ᠦoUս1ޛ~33+IDk
+lXR՞aHbFK΄Ϊ8JJ2aWV+ &hh0`B;Jh )5v hr`6+Jf\%'LN 4"e-
+N R
+tq!͔*BȔA&9Jlfи~S˘adls2zs(ddLQ2z=&ޙ|=L!Og:} [&h,df^y3<ЬUvͲrw!M~LzL,b~&06dqmJ RGGf
+H?.DTɠ
+"Av:7i&__ ᴧ1[Ejp94p*ObAb[h>1q*K L446&X8vnGg+|ޖcL,g!]{7Ђ[9|3g8v-6>(^ZUՇ0>}t6`o ,U#HDO,z#Pan\Z@O% ի:̇( X⨥c`wQ" iKe&u~
+״&#ו2nuI xӳٷ9!4`pz^ 2.0wsS |;ZTY؎]Ji'5TFG#ET R8=fzaS)njXZ^S\T6Ib>kӿxOO{k{Oi௿l?9~bwgAAC=MhlG7m̻ #%;y:tN06W6&P\sM2 6 Zfhjb'ȳZeb1e861|
+yQ0ucK-4?(F㬍MMUӝ^
+ʽ:`
+s%,%Q{<\@$I&qG"P/"@iQQ!W!rnxS>O#md!vӡfwId5ʨQ0MIYo(?t5`Wp ˶+aZ>w }e{{<w :{HH OpY6xڡZCqpm `"D9y[r
+ 5a
+U(Ż"G/f"5aFP-VvT=!wK[;*/E.7X\H&!(
+Y6${ʿ/t
+VqBcӲW8 7O(@i J;/DS$;ͽj@3穦h?Gx7ËdRsr}ܽ{Yq*kfƙU3F-UD[kBmM1V j!2}81>n&vX{.beؽwc9G?EX<Ȭ1n`rF5ϱpT!VZ_.!xऒD 1~)Ni7N;7jcܹxeC--]UCo L: Loit}C^!Oɦl'"sA$$"o2ØD. aFD!7mbPsdSl 
+?W([/-1^$mI-U=|߁|G86q!^"ڠ9 E#0nr85?Wɨ
+ q@w9 0Kf
+<cxde#3,%qZӶ T1Mw2'^m^ݱbZu|hDoku`1\ dz]
+*dBz?*CJ0 F@(gʿ0yow&Y25ȬBEuhnvTT($bq"rXE54>Ho|jsVik&m&j
+22GFRCfSvYeL6zxB$9HԄq~S 0Pfx)^_>oEqE<91Dxg&ɈqX|q+}`0-O Ahoo^B6FY\o786wMl`o[r^w@)]z^iz&'$ 2Lp> W+ZnjUSh8(]S-
+4C1EkâW \zR_QA33v.\q{&etʵ\_zݻϵ֭xi{Mp?>\ӜmJFYb-#K^|xŜ OmO0)-N6F4!uNpڳC)LQ<Rn#t+Mhܕ"@14w; xT;x:yQ뿆*8Q4;gӷ$֦o
+6ڂ;C|'}fT,LTݬgʔ*,8$U>nDE&v ڞFL;si8g{nS):v&K*ieER>)e!mH \NMBIyxy.m^|&yiu>#o~(tƮ;Bmw4}V,>ځ؟c_;{
++z` r?b&M"GY
+",ڄ""P.w /υ~V?r~{gf_3Lf*JVh Q4g
+Eai
+ԡRZRlDi+j/%D@hh Sbbl p-UjAG
+D8uH
+W'![ύA17GJ@z!:LԞh:Q=jNn*\#LqX!/0dgY\Z|$iz_xZ÷޸r:݋XEdȬHF|[.(/q/)|ObŚ/$InV\<j i<Ms"mpM4.xzy3ּ‟rdKG4SJ }&7 [N Us-GM7Rh 􄄔,1Ι@`T ˝{, "@òJ|ײxM[oLoœWM:v'xټXM㤙oLwp} -/<ҺRfӷgG}&&>S;Rŷ?>|th!Y"ؒH0~Hg:*/Ư5hytl?.9\!g(E\=o}gwVG3^q`gm{H,7Q,0ܻE )Jfcr4VRc(Cm
+et6j5f<UGu&\3:i:"jq3H~8UP^f 1܉ӥ7O誣[c~wBJ8釗/7鯶1}#4v.<\#Zt` Sd(o3SR0/>4ލiC/3O݅\iI͋&GyY <>aؕ>FxU8EAB
+z&:R4EGHuU3ۉAW[S2N3 b~̹-YuI;($kazՈ{$;ۀ-F'BHPy 4ܖ!a媹fjmog>utS'u /{Q69/_nb
+
+PؕMH#&9uj|ŀ0F þ
+Cgh56Kw0oZ C1]Ʋ)@lSw\gCrwJ4_IH
+QD?Wj2>rPuWe c ^
+F ]vY:XGht(,2ǰ;eA(3D.L2%n:c妊tM)*S`ΰ)Z-FTg0]k hFJR$,H|(y&&$v?TUp'53͛.kȆ f9+D5KLVnPl8|@g~NqOݪb'u(Lݣ7568'83XNVFׇ?z\f-԰ŀ-3l@䐨Ӗm8<t\KSVͷ(yMO
+TF֢ SS$G>
+i'ގc"dx2g6p
+g,X`%diK3nr\^O)M
+On+7  Gj@%@>Ҩn 4Beڸ7n>M
+p8rYI`B0s!!B\-nGD^MSS#}1[}ܳճyNfh?f5QF,̇
+ *TB N V ?;f
+VC6NPWL52a `ߓ[4+u W{'ݱ!`CFnu\KD֊ F"r~1(Foe6#8}˃Vu]U*;y"<VCq⢫H@C\
+Oe iMgoVy *fBMo_eO9bWG<}_D}`yK[EN"i!Hw3'9kC~NAa^4Cpu jI{KݏNum?f1ZǖCtsƔW깡n1M24!\+o]h뫇
+Kw󓲶f|ݟh*$œޙ}M`NSYϬ2~d\(WI1?o .4KFJ淲[^Oers#xCGS/_3.\&Pk4L]oV:nUuW$zɯXol5E׌
+P꒵ br_fլ<H0çqB:t N"+3Wv>Ba _XNԎڤ$KC5ΒϣҔ7hzڠx jX(0&m@idPqT"0rd:oٯ| ^ G6k" 9Xȍ[ϢEߘ{+@ ~ھjE!au
+ݱ(#)WVPɹTc4/d$Sx@,mb棓ZΟ6zu,ۨJ 83Z:y@F^]x@nnr=*+;ܻg '
+'U^U<.-ۡyU.W Ń|HЂA&YEsXBܐ8)t^ +v4ҿh^;g Jf`Y$Ey&9k1;4*ߗ+ )ÔzS-X BR*u 2TpBMW*Wd7h*g R}$$EI*yyw[.YݵtfzɎ?;Ɩ==\~~X&l=߻@tk꺝[+3WXAv{eY3ݹ4Z8ݖ}]T)
+ܤ SFd ECQ|2e`<jH44)řֺDw+-iֿFH[mÙqGyRݑ~,_S*%wOZd0LMX f $H&  u|<,s9ЈS\7UXLZD>#؁2*|"Ԟ
+*/56
+Ͻ3{Νyή5dw6Z;$9 VX+JBE~$R5VR6r ?RKI
+A(44XUsgwy9'`
+'+Pά(VX bJkO+g[[N/|b᷐vU\6u?<G
+̹#=d$@QAVtSf.9mg6(#4&ֲd2NLX/V .3*n>i(@QeU'J!K2@o| 5¿ӓ{e t@>%_epk/ЭЅ0Hknw04ׄ f1!1B|#Q(?;n:බt
+wNuBf_
+2cŏ@Lx=[^zIj<!\[F!m6Zi7/y]",2XUJs5!D*sJ&N
+y{Y'cv&
+"j8cwwPx<u$8S@ږ RkH\2?dwF\:Ǎ:k1Es,-E"aF ôF\G<,F$(`x&ײLS x<i<'0X|AFG<!HG LnL'ϿtW_v'A'ӍqXƫ ;69&,Bf!@(CG!~G/
+k=6F!L&eJ>ΐWuYB藬AF~̄!J
+!Tp2.5C\w^u!kJ e5XtЬ(&( #!L˶UTTE!
+mOPk(c"0(blk0 1a6֌zt"Cck*3q5>7Dn'$'쓓kk>
+mH߿Q9۲EZ#.fݚ<H vy #5yR_ڟ:V8N |E4=ﲭXK 2VoU6-T##f̎0Dh,/ dC
+n/ˈ>=cwЬ?8{thzjSSwwtD?*L޺LL/6e(u]ؗ})*7W~'R,@dh.m@BԉA"a%RBBqţjR5$K?=`pSCRpW(\ǰ+Lyʧ{( 2OZ`h9j XE]$hzUJ$dyĕP]ff L5*@j,OYY'La҉;xg+O8&E
+in3I jm O#r]8UZMOax. _#b)Vԑ +O;=ХNn2.S2
+tsw 5̹Ýww3M~Vk]fh!F ,MK_.w p,4hD#Vg2":%]j>L}ұ<-u}+eUMx:X1gdirxYomc5iʦ 
+s9W.tZP
+K'aDr3r҄g`@(=-˨"Ge208 INP_ eUA2
+H"W #(DQ "P @ w MX 6+\Eߟ"nF\1`4:fkQSfT
+:1EJª? Q5VTȍN&43mQ,R|I /$ܨZBo.--ѐX.twX_g,\.pD.\84.!\/@XMz!d \  FƇ BBHa<1@弟0o果 +h&R+2Rl68}ޤeQf59~3gbV:Ғ @u[G^=#'bs:~Ԛůղ侦O>z2[&|}[.}GٿE
+%@_xYJKQWAl]>d_muL_V Cnm̸3cv"E,O NCa|+G@ܝTL;):l,t=jKvg̖J@~U޽ _ <ݷ3`Y,|%f<Q
+xG,܀j YIK5CI,wH!r⮃/^^u`)7_}fi\Ȟ!=nRHן۸/:
+$7cT(ьB|uIuYHZOmn< vҬ?[<-v]_=5Kԯ{µA^56`iH/ !h@5g5A="@DThH7HO(Ѹdm]fLQ.oXk
+~sU+X@"3(-㝩--q.`DK)zҪ3ڲ#^^ Oz(yo4=WFNuή㒗e?%}?|t|PX zH mͼ|gѾNvŊ+wD`mhapahc9ZXj'OJ}%W@x_jl!_s^/N˔0| l:Y2(72*XTPt_%Ώo~ 0HCR$>:JY%Tx 5BPc*2
+F;h
+媖֖u
+u.2M4m>4 }76eFݣs|
+\#YwgwP.7
+E9D u X\8?$'9A=;?p?RzrV ^i -<Mc/ਊ;v86I + L ZZ Wr#^;
+Sa:ҩaZ/JO`&h5
+aM;#?K7~ k&Ƅ53طUPOX'6x@ߍoueTG ]_y ϤzW˶xy$K#TՠE [5o|[:D-ENx_ߋ*p
+; y+?'pvĸu"m7cM1[o | v_X a'@DݣW嗪 ~{3t t* hU A]~eƩ@BJ>C|jM<!4xC0,1X3}}wI(X.!E,+g?*o2}nM(3Bį"v+T09%r9.cmeS&Z:yN&;k>-{
+ {2}{5g|AqPݨwܰ$d'D<3&(la}?O!={g 4 f;(
+nE"1D3!*<E4൙?֏Ds^J"/WR]51111111111111111111111l!)u489MYSGh=BwYN16W Bϰ+ Nɬ#Da3rY2lN,6l \4öfAj
+nA9ꀺQ>>ɩ|iӣ ubPA+QdujF#ЎV<1U$`!iS4նHM[`D{qX"ѾH:X"jVH*XHLQfU3g-R fE\t*Hn.fl7oJe~W2}L_L*ӗ1=.g2}S3WEqLbN71]cdObfy<+R6C|)>^AcY,,,HALz^TRg
+n-=!_)DN<N
+N\ٸx7r9J-.fdL>sEYORI*x+eAl65r>C'#>72Xu46ZHMҟI?D\=MUQG(>=5jerۣ;ZAˮBeg"z!{](.Y,k+Rit$J J;m urXh**ۤ]&q_vr
+pOvIvĕk<N,'!h;PI8M7s3hg8#N qRRD
+KҠ/IRF۝ح B~8
+pHR=`
+R8ILrf\AgqO<ŘT3c;3c;,Gd!q#xGo>wLad2C4򚆏2n ըF]Uik3Jwj *ܯ`7 U]q3v ጪ6ʖkL.c:9*ӷr]/CBG8 JC4*
+<{hʓ۳G~_) ͛nNف hy:...C=Sf]?LN
+3yD#rZ(j׽
+-Xp֜3hnCwtdҥՊ"S0%v1qDAA[-˷+~\c?h,ث\as+);.!lְy>vfuhkŚ8.J
+endstream endobj 186 0 obj <</AIS false/BM/Normal/CA 1.0/OP true/OPM 1/SA true/SMask/None/Type/ExtGState/ca 1.0/op true>> endobj 187 0 obj <</AIS false/BM/Normal/CA 1.0/OP false/OPM 1/SA true/SMask/None/Type/ExtGState/ca 1.0/op false>> endobj 185 0 obj [/Separation/HKS#2014K/DeviceCMYK<</C0[0.0 0.0 0.0 0.0]/C1[0.0 1.0 1.0 0.0]/Domain[0 1]/FunctionType 2/N 1.0/Range[0.0 1.0 0.0 1.0 0.0 1.0 0.0 1.0]>>] endobj 184 0 obj <</LastModified(D:20130215155127+02'00')/Private 199 0 R>> endobj 199 0 obj <</AIMetaData 200 0 R/AIPDFPrivateData1 201 0 R/AIPDFPrivateData10 202 0 R/AIPDFPrivateData2 203 0 R/AIPDFPrivateData3 204 0 R/AIPDFPrivateData4 205 0 R/AIPDFPrivateData5 206 0 R/AIPDFPrivateData6 207 0 R/AIPDFPrivateData7 208 0 R/AIPDFPrivateData8 209 0 R/AIPDFPrivateData9 210 0 R/ContainerVersion 11/CreatorVersion 15/NumBlock 10/RoundtripVersion 15>> endobj 200 0 obj <</Length 1107>>stream
+%!PS-Adobe-3.0
+%%Creator: Adobe Illustrator(R) 15.0
+%%AI8_CreatorVersion: 15.1.0
+%%For: (Reynaud, Adrien) ()
+%%Title: (614008235023.pdf)
+%%CreationDate: 2/15/2013 3:51 PM
+%%Canvassize: 16383
+%%BoundingBox: 27 28 814 567
+%%HiResBoundingBox: 27.9019 28.208 813.0977 566.791
+%%DocumentProcessColors: Cyan Magenta Yellow Black
+%AI5_FileFormat 11.0
+%AI12_BuildNumber: 39
+%AI3_ColorUsage: Color
+%AI7_ImageSettings: 0
+%%DocumentCustomColors: (HKS 14K)
+%%CMYKCustomColor: 0 1 1 0 (HKS 14K)
+%%CMYKProcessColor: 1 1 1 1 ([Repérage])
+%AI3_Cropmarks: 0 0 841.8896 595.2764
+%AI3_TemplateBox: 421.5 296.7764 421.5 296.7764
+%AI3_TileBox: -0.015137 -0.021484 841.9053 595.2983
+%AI3_DocumentPreview: None
+%AI5_ArtSize: 14400 14400
+%AI5_RulerUnits: 1
+%AI9_ColorModel: 2
+%AI5_ArtFlags: 0 0 0 1 0 0 1 0 0
+%AI5_TargetResolution: 800
+%AI5_NumLayers: 1
+%AI9_OpenToView: -493 655.2764 1 1831 922 18 0 1 44 119 0 0 0 1 1 0 1 1 0 1
+%AI5_OpenViewLayers: 7
+%%PageOrigin:17 13
+%AI7_GridSettings: 28.3465 10 28.3465 10 1 0 0.8 0.8 0.8 0.9 0.9 0.9
+%AI9_Flatten: 1
+%AI12_CMSettings: 00.MS
+%%EndComments
+
+endstream endobj 201 0 obj <</Length 11704>>stream
+%%BoundingBox: 27 28 814 567
+%%HiResBoundingBox: 27.9019 28.208 813.0977 566.791
+%AI7_Thumbnail: 128 88 8
+%%BeginData: 11554 Hex Bytes
+%0000330000660000990000CC0033000033330033660033990033CC0033FF
+%0066000066330066660066990066CC0066FF009900009933009966009999
+%0099CC0099FF00CC0000CC3300CC6600CC9900CCCC00CCFF00FF3300FF66
+%00FF9900FFCC3300003300333300663300993300CC3300FF333300333333
+%3333663333993333CC3333FF3366003366333366663366993366CC3366FF
+%3399003399333399663399993399CC3399FF33CC0033CC3333CC6633CC99
+%33CCCC33CCFF33FF0033FF3333FF6633FF9933FFCC33FFFF660000660033
+%6600666600996600CC6600FF6633006633336633666633996633CC6633FF
+%6666006666336666666666996666CC6666FF669900669933669966669999
+%6699CC6699FF66CC0066CC3366CC6666CC9966CCCC66CCFF66FF0066FF33
+%66FF6666FF9966FFCC66FFFF9900009900339900669900999900CC9900FF
+%9933009933339933669933999933CC9933FF996600996633996666996699
+%9966CC9966FF9999009999339999669999999999CC9999FF99CC0099CC33
+%99CC6699CC9999CCCC99CCFF99FF0099FF3399FF6699FF9999FFCC99FFFF
+%CC0000CC0033CC0066CC0099CC00CCCC00FFCC3300CC3333CC3366CC3399
+%CC33CCCC33FFCC6600CC6633CC6666CC6699CC66CCCC66FFCC9900CC9933
+%CC9966CC9999CC99CCCC99FFCCCC00CCCC33CCCC66CCCC99CCCCCCCCCCFF
+%CCFF00CCFF33CCFF66CCFF99CCFFCCCCFFFFFF0033FF0066FF0099FF00CC
+%FF3300FF3333FF3366FF3399FF33CCFF33FFFF6600FF6633FF6666FF6699
+%FF66CCFF66FFFF9900FF9933FF9966FF9999FF99CCFF99FFFFCC00FFCC33
+%FFCC66FFCC99FFCCCCFFCCFFFFFF33FFFF66FFFF99FFFFCC110000001100
+%000011111111220000002200000022222222440000004400000044444444
+%550000005500000055555555770000007700000077777777880000008800
+%000088888888AA000000AA000000AAAAAAAABB000000BB000000BBBBBBBB
+%DD000000DD000000DDDDDDDDEE000000EE000000EEEEEEEE0000000000FF
+%00FF0000FFFFFF0000FF00FFFFFF00FFFFFF
+%524C45A8A8FFA8FFA8FFA8FFA8FFA8A8A8FFA8FFA8FFA8FFA8FFA8FFA8A8
+%A8FFA8FFA8FFA8FFA8FFA87DA8FFA8FFA8FFA8FFA8FFA8A87DFFA8FFA8FF
+%A8FFA8FFA8FF7DA8A8FFA8FFA8FFA8FFA8FFA8A8A8FFA8FFA8FFA8FFA8FF
+%A8FF7DA8A8FFA8FFA8FFA8FFA8FFA8A8A8FFA8FFA8FFA8FFA8FFA8A87DFF
+%A8FFA8FFA8FFA8FFA8A87DA8FD0BFF7DA8FD0CFFA8FD0BFF7DFD0BFFA8A8
+%FD0BFFA87DFD0BFFA8FD0CFF7DA8FD0BFFA8FD0BFFA87DFD0BFFA8A8A8FF
+%FFFFA8FFFFFFA8FFFFFFA8FFFFFFA8FFFFFFA8FFFFFFA8FFFFFFA8FFFFFF
+%A8FFFFFFA8FFFFFFA8FFFFFFA8FFFFFFA8FFA8FFA8FFFFFFA8FFFFFFA8FF
+%A8FFA8FFFFFFA8FFFFFFA8FFFFFFA8FFFFFFA8FFFFFFA8FFFFFFA8FFFFFF
+%A8FFFFFFA8FFFFFFA8FFA8FFA8FFFFFFA8FFFFFFA8FFA8FFA8FFFFFFA8FF
+%FFFFA8FFA8A8FD7EFFA8FD7DFFA8FFA8A8FD7EFFA8FD7FFFA8A8FD59FFA8
+%FFA8FFA8FFA8FD05FFA8FFA8FFA8FD14FFA8FD5AFF7D527D527D527D7D7D
+%527D5252277D527DA8FD13FFA8A8FD0DFFA8FFA8FFFFFFFD05A8FFFFFFA8
+%FD3DFFA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8FD14FFA8FD0FFFA8FFA8
+%FFFFFD04A8FFA8FFFFFFA8FD5FFFA8FFA8A8FD0DFFA8FD05FF7DA87DFD04
+%A8FFFFFFA8FD3BFF2752522727A8FFFFA8FD1BFFA8A8FD1DFFA8FD05FF7D
+%FD20FFA8A8FFFFFFA8FFFFFFA8FD0CFF52527D527D52A87D7DA8FD1AFFA8
+%52A8FD0DFFA8FFA8FFFFFFA8FFA8FFA8FFFFFFA8FD05FFA8A8FD08FFA8FF
+%A8FD13FFFD05A8FFFFFFA8FFFFA8A8FD0BFF7DA8FD047D527D7DFD1BFFA8
+%A8FD0FFFA8FFFFFFA8FD09FFA8FD05FFA8FD0BFF7DFFA8A8A8FFA8A8A8FF
+%A8A8A8FFFD04A87DA8FFFFFFA87DFD12FFA87DA87DA8767D52A8FD1CFFA8
+%A8FD0BFFA8FFA8FFFFFF7DFFFD05A8FFA8FFFFFFA8FFA8FFA8A8A8FD07FF
+%A8FFA8A1FD08FFA8FFFFFFA8FFFFFFA8A8A8FFFFFFA8A8FD12FF7D7D527D
+%7DA87D7D525252A87D7D5252527D527D7DA8FD0FFFA8FD0BFFA8FFA8A8A8
+%FFA87DA8FF7DA87DA8FF7DA8FFA8A8A8FFFFFFA8FD0BFF7DFFA8FFA8FFA8
+%FFA8FD09FFA8FD05FFA8FD12FFA87DA87DA8A8A87DA8A8FD047DA8FD16FF
+%A8A8FD09FFA8FFFFFFA8FFFFFF7DFFFFA8A8FFA8FFA8A8FFA8A8FD05FFA8
+%FD07FFA8FFA87D7DA87DA8A8FFFFFFA8FD07FFFD04A87DA8FFA8A8FFA8FD
+%0FFF52522652527DFD0652F82727A87DA87DA87DFD10FFA8FD0DFFA8FFA8
+%A8A8FD0AFFA8A8FFA8FFA8FFA1A8FD06FFA8FFFFFF7DFD04A8FD0DFFA8FD
+%05FFA8FFFFA8FFFFA8FD0CFFA87D7D7DA8A8A87D7D52A8FD0A7DFD0EFFA8
+%FFA8A8FD09FFA8FFFFFF7DA8A8FD05FFA8FD05FFA8A8A8FFFFFFA8A8A8FD
+%05FFA8FFA8FFA87DA8A87DFD06A8FD07FFA8FFA8FD05FFA8FFA8FFA8A8A8
+%FD0BFF7D7D527D52527D7D52FD057D52527DFD14FFA8FD09FFA8A8A8FD11
+%FFA8FD0DFFA8A8FFFFA8FD07FFA8FD09FFFD05A8FFA8FFA8FFFFA8A8FD0C
+%FFA87D7D52A87DA87DA8A87D52A87D7D7DA8A8A87D7DA8FD0DFFA8FFA8A8
+%FD09FF7DFFFFFFA8FD07FFA8FD07FFA8FFFFFFA8A8FD08FFA8FFA8A8A8FF
+%A8FFA8FFA8FFA8FFA8FFA8FFA8FFA8A8A8FFA8FFFFFFA8A8A8FFA8A8FD0C
+%FFFD047DA8A87D7D7DA8FD077DA87D7D52A8FD0FFFA8FD0AFFA8A8FD17FF
+%7DFD07FFA8A8FFFF7DFD11FFFD05A8FFA8FD05FFA8FD31FFA8A8FD0DFFA8
+%FD07FFA8FD07FFA8FFFFFFA8A8A8FD06FFA8A8FFA87DA8FFFD07A8FD07FF
+%A8A8A8FFA8FFFFFFA8FFA8FFA8A8FD0CFF277D527D5252277D7CA8FD1BFF
+%A8FD0BFFA8FFFFA8FD0EFFA8FD05FFA8FD07FFA8FFFFFF7DFD04A8FFFFFF
+%A8FD15FFA8FD0CFFFD067DA8FD077DA8A8A87DA87DA8A8A87DA8FD0CFFA8
+%A8FD09FFFD04A87DA8A8A87DFFFD05A8FF7DFFA8A8A8FD04FFA8A8FD07FF
+%A8FFA87D7DA87DA8FD04FFA8FD07FFFD04A87DA8A8A87DA8A8FD0FFF7D7D
+%527D527D7D7D527D527D527D7DA87D7D7DA87DA8527D7DFD0BFFA8A8FD0D
+%FFA8FFFD05A8FF7DA8A8A8FFA8A8FFA8FFA8FFFFFFA8FD0BFF7DFFA8FFA8
+%A8A8FFA8FD09FFA8FFFFFFA8FFFFA8A8FFFFFFA8FD0BFFA8A8FD0B7DA852
+%7DA87DFD12FFA8FFA8A8FD0DFF7DFFFFFFA8FFFFA8A8FF7DFFA8A8FFA87D
+%A8FD0CFFA8FFA8A8FD11FFA8A8FD04FFA87DFD05FFA8FD0BFF7D7D527D52
+%FD057D527D52527D7D52A87D7D7DFD10FFA8FD15FFA8FFA8FD07FFA8FFA8
+%FFA8FFA8CAFD08FF7DFFA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8FFFFFF
+%A8FFA8A8FD11FFA87D7D7DA87DA87DA87D7D7DA752FD067DA8FD0EFFA8FF
+%A8A8FD0DFFA8FFFFFFA8FFA8A8FD04FFA8FFFFFFA8FFFD06A87DFD05FF7D
+%FFA8A8A8FFA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8A87DFFA8A8A8FF7DFFA8
+%FFFFA8A8FD30FFA8FD0FFFA8FD05FFA8FFA8FD05FFA8FD25FFA8A8A8FFA8
+%FFFFFFA8FFA8FD0CFF52527D7D7D527DFD1EFFA8A8FD0FFFA8FFA8FFFD05
+%A8FFA8FFA8FD1FFFA8FD05FFA8FD17FF7D7D527D527D527D7DFD05A87DA8
+%FD15FFA8FD15FFA8FFA8FD23FFFD07A8FFFFFFA8FD14FFA87D7D527DA8A8
+%7D7D7DA87DA87D7DA8FD15FFA8A8FD0DFFA8A87DFFA8FFA8A8FFA8A8FFA8
+%A8A8A7A8FD1CFFFD06A8FFA8FFA8FD15FFFD0552FD047D52527D277DFFFF
+%A8FD14FFA8FD15FFA8FFA8A8A8FFA8FFA8FD3CFF52527D527D527D525252
+%7D527D52A8A152FD057DFD0DFFA8FFA8A8FD13FFA8FFFFFFA8FFFFA8A8A8
+%FD3CFF527D7CFD057D52FD057D52FD057D52A17D7D7DA87D7D7DFD08FFA8
+%FD14FFA8A8FFA8A8FFFFA8FFA8FD3CFF7D527D7DA87DA87D7D7DA87D7D52
+%7D7DA87DA87D7DA8A17DA87D7D52A8FD06FFA8FFA8A8FD59FF7D7D527D7D
+%A85252527D525252A8527D5252527D52A8FD0EFF7D7DFD61FFA8FFA8FFA8
+%FFA8FFA8FFA8FFA8FD10FF7D52A8FD59FF7DA87DA87D7DA8FD1DFFA8A8FD
+%5AFFA8FD047D52FD1FFFA8A8FD5BFFA8524B7D52275252527D277D525252
+%27527D525227527D7D7D5252FD08FFA8FD61FFA8FFA8FFA8FFFFFFA8FFA8
+%FFA8FFA8FFA8FFFFFFA8FD07FFA8FFA8A8FD0FFFA8FFA8A8A87D7DA8A8A8
+%7DFFA8FD18FFA8A8FFA8FFA8FD1FFF7DA87D7D7DA8A8FD1EFFA8FD0FFFA8
+%FFFFFFA8FFA8FFA8FFA8FFFFFFA8FD11FFA8FFA8FFFFFF7DA8A1A8A8A87D
+%FFA8FFFFFFA8FD18FFA87D7D527D7DA8FD1CFFA8FFA8A8FD0DFFA8FFFFFF
+%A8FFFD07A8FFFFFFA8FD0FFFA8FFFFFFA8FFFFFFA8FFFFFFA8FFFFFFA8FF
+%FFA8A8FD17FF5252527D52527D52527D2752A8FD18FFA8FD0FFFA8A8A8FF
+%A8FFA8A8A8FFA8FFA8A8A8FD29FFA8A8FD12FFA8FFFFFFA8FFA8FFA8FFA8
+%FD19FFA8A8FD0FFFA8FFA8FFA8FFA8FFA8FFA8FFA8FD0FFFA8FFA8FD13FF
+%A8FD05FF7DFD36FFA8FD0FFFA8A8A8FFA8FFA8FFA8FFA8FFA8A8A8FD0EFF
+%A8FFFFA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8FD09FFA8FD10FF7D527D
+%5252527DFD1EFFA8A8FD0DFFA8FD047DA17DA87DA87DA8FD047DA8FFA8FF
+%A8FD09FFA8FFA87DA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8A8FD06FFA8
+%FD11FF525252A8A8FFA8FD1EFFA8FD0EFFA87DA8A8FFA8FFFD05A8FFA8A8
+%7DA8FD10FFA8FFA8FFA8FD0DFFFD05A8FFA8A8A8FFA8FD31FFA8FFA8A8FD
+%0DFFA8A87DFFA8FFA8A8A8FFA8FFA8FF7DA8A8FFFFFFA8FD09FFA8FFFD05
+%A8FFA8FF7DA8A8FFA8FFFFFFA8FFA8A8A8FFA8FFA8FFA8A8FFA8A8FD30FF
+%A8A8A8FD0DFFFD08A87DA87DFD08A8FFA8FD0DFFA8FFA8FFFFFFA8A87DFF
+%FFFFA8FFFFA8A8FFA8A8A8FFA8FFFFFFA8FD33FFA8FFA8A8FD0CFFA87DA8
+%FFFFA8FFFFFFA8FFFFFFA8FFFFA87DA8FFA8A8FD08FFA8A8FFFD05A8FFA8
+%FFA8FFFFFFA8FD06FFA87DFD04A8FFA1A8FD35FFA8FD0EFFA87DFFA87D7D
+%FD05A87DA7A8FFA8A8FFFFA8FD09FFA8FFFFFF7DFFA8A8FD06FFA8A8A8FD
+%05FFA8A8A8FD07FF7DFD33FFA8A8FD0DFFA87DFD04A87DA87DA87DFD06A8
+%FFA8A8A8FD08FFA8A8FFA8A8FFA87DFD0DFFA8FFA8FD05FFA8FFA8A8A8FD
+%32FFA8FD0EFFA8A8FD0DFFA8A8FFFFA8FD09FFA8FFFFFF7DFD11FFA8FD05
+%FFA8A8FFFF7DFD33FFA8A8FD0DFFA8A8FD0DFFA8A8FFA8A8A8FD08FFA8A8
+%FFA87DA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8A8A8FFA8FFFFFF7DFFFF
+%FFA8FD32FFA8FD0EFFA87DA87DFD0BA87DA8FFFFA8FD09FFA8A8FFFFA8FF
+%A8A8FD0EFFA8FD06FFA8A8FD33FFA8FFA8A8FD0DFFA8A87DA8527D7DA87D
+%A87D7D7DA87DA8A8FFFFA8A8FD08FFA8A8FFA8A8FFFFA8FD0EFFA87DFFA8
+%FD04FFA8FFA8A8FD32FFA8FD0DFFFD05A8FFA8FFA8FFFFFFA8FFFD05A8FF
+%A8FD09FFA8FFFFFFA8FFA8FFA8FFA8FD0BFFA87D7DA87DFFA8A8A8FFA8FD
+%31FFA8FFA8A8FD0CFFA87DA87DA87DA8A8A87DA8A8A87DA87DA87DFFFFFF
+%A8FD09FFA8FFA8A8FFFFA8FFA8FF7DA8A8FFA8A8FFFFA8A8FFFF7DFD04A8
+%FD47FFA87DFFA8A8A8FF7DA87DFFA8A8A8FFA8A8FD10FF7DFFA8FFA8FFFF
+%A87DFFFFFFA8FFFFFFA8FFA8FD3DFFA8A8FD0DFF7DA8FFFFA8A8A8FFA8FF
+%A8A8A8FFFFA87DFFFFFFA8FD09FFA8FFA8A8A8FFA8FFA8FD0DFFA8FD3BFF
+%7DA1FD0FFF52A8A8A87DFD07A87DA852A8A8FFA8A8FD0CFF7DFFFFFFA8FF
+%FFFFA8FFA8FFA8FFA8FFA8FFA8FD3CFFA87DA8FD0EFFA8A1FFFD04A87DA8
+%A8FFA8A87DA8FFFFA8FD0BFF7DFFA8A8A8FFA8FFA8FFA8FFA8FFA8FFA8FF
+%A8FFA8FD3EFFA8FD11FFA8FFFFFFA8FFFFFFA8FD63FFA8FFA8A8FD15FFA8
+%FD68FFA8FD7DFFA8FFA8A8FD7EFFA8FD7FFFA8A8FFA8A8527D7DA87D7D52
+%7DA8FFA8FFA8FD6EFFA8FFFFFFA87D7DA8A8A87D7D7DFD71FFA8FFA8A8A8
+%7DFFA8FFFFA87DA852A87DFFA8FFA8FFA87D527D7D7D527D7DA8A8FFA8FF
+%A8A87CA8A8FFA8FFFD047D527D527DA8FFA8FFA8FFA8FFA8FFA87D527D52
+%FD057D527D527DA8FFA8FFFD05A8FFA8A8A8FFFD05A8FFA8FFA8FFA8FFA8
+%FFA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8FFFFA8FF
+%7DA8FFFFA8FFA8FD047DA8A8FFFFFFA8FF7D7D52A8FD047DA8FFA8FFFFFF
+%A87DA8FFA8FFFFA87DA87D7DA17D7DFD0BFF7D7D527D7DA8A1FD067DFD05
+%FFA8A89ACAA8A19AA099A8A8A87DA8FD22FFA8FFA8A8A87DFFA8FFFFFFA8
+%A87DA87DFFFFFFA8FFFFFFA8A87DA87DFFFFFFA8FFA8FFFFA87DFFFFFFA8
+%FFA8FD09FFA8FD07FFA8FD05FFFD07A8FD06FFA8A8B5A0C38CB08CC2A8A8
+%7D7DA8FD24FFA8FFA8FFFFFFA8FFA87D7D527D7DA8FFFFFFA8FFFFA8527D
+%7D52A8FFA8FFA8FFFFFF7D7DA8FFA8FFA8FD06FF7D7DFFA8CAA8FD0DFFA8
+%FFA8A87DFD07FFA8FF93B08CB593BCA1A8A8A87DFD23FFA8FFA8A8A87DFF
+%A8FFFFA8527D527D7DA8FFFFA8FF5252275252A8527D2752A8FD04FFA852
+%FD05FFA8FD05FF527DA87D7DA8A8FD05FFA8FD05FF7DA8A8FFA8A87DFD06
+%FFA8A8BC8CB592B5A0A8A8A87DA8A8FD23FFA8A8FFA8A8FFFFA8FFFD05A8
+%FFA8FFA8FFFD0BA8FFA8FFA8FFA8A8A8FFFFFFA8FD18FFA87DA87DA87DA8
+%FD06FFA8FF7D7652FD057D527D527DFD24FFA8A8A87DFFA8FFA8A8527D52
+%527DA8A8FFA8A852272752527D527D277DA8FFA8FFA87D52FFA8FFA8FFA8
+%FFFFFFA8FFFFFFA8FFFFFFA8FFFFFFA8FFA8FD09FFA8FFFFFFA8FFFFFFA8
+%FFFFA87DA87DA87DA87DA87D7D7DFD0DFFA8FFFFFFA8FFFFFFA8FFFFFFA8
+%FFFFFFA8FFFFFFA8FFFFA8FFA8A8FFFFA8FFA8FD057DA8FFFFFFA8FFA87D
+%7D7D527D52A8A8FFA8FFA8FFA87DA8FFFFFFA8FFA8FFA8FFA8FFA8FFFFFF
+%A8FFFFFFA8FFFFFF7D7D7DA852A8FFFFA8FFFFFFA8FFFFFFA8FF7D7D7DA8
+%7DA87D7DA8A87DA8A8A87DA87DA87D7D7DA8FD057DFFFFFFA8FFFFFFA8FF
+%FFFFA8FFFFFFA8FF7D7D7DFFA8FFA8A8FFA8FFA8FFFFFF7DA87DA852A8FF
+%FFA8FFFFFFA8A8FD047DA8FFA8FD04FFA8A8FD05FF7D527C52527D7D7D52
+%FD09FFA87D52A8A852A8FD0CFFA8FD057DA852A87D7D7DA87D7D7DA8A8A8
+%7DA8A87D7CA87D7DA8FD0FFFA8A8527DFFFF7D7DFFA8A8FFFFA8FFA8A8FD
+%047DA8FFFFFFA8A8527D7DA8A87D7D7DA8FFA8FFFFFFA87DA8FFA8FFA8FF
+%A8FFFFFFA8FD0DFF7DA87D7DFFFFA8FFFFFFA8FFFFFFA8FFFFFFA8FD2FFF
+%A8A87DA8A87DFFA8FFFFA87D7D52A87DFFFFFFA8FF7D7D527D7DA87D7D52
+%FFA8FFA8FFFFA852FFFFFFA8FFA8FD11FFA8A87D7D7DFFFFFFA8FFFFFFA8
+%FFFFFFA8FFA8FD13FFA8FFA8FFFFFFA8FFFFFFA8FFFFFFA8FFFFFFA8FFFF
+%FFA8FFA8A87DA87DFFFFA8FFA8A8FFFFA8FFA8A8A87DA8A17DFFFFFFA8FF
+%FFFFA8A87DFD05FFA8FFFFFFA8A8A8FFFFFFA8FD13FF7DA87DFD04A8FD0B
+%FF7D7D52FD047DA87DA87DA852FD057DA8FFA8FFFFFFA8FFFFFFA8FFFFFF
+%A8FFFFFFA8FFFFFFA8FFFFFF7D52527DFFFFA8A8A87DFFA8FFA8A8527D52
+%7D527DA8FFA8FFA8FFFFA8527DA8FFA8FFA8FFA8FFFFA87DFFA8FFA8FFA8
+%FD11FFA87D525252A87DA8A8FFA8FFA8FFA8FFA8FFA8A87D7D7DA87DA8A1
+%FD067DA87D7D52A8FD18FFA8FFA8FD04FFA8FF2752A8FD05FF7D7D52FD09
+%FF7D5252FD09FF7D7DFD18FF527D527D7D525252FD3CFFFD04A8FFA8FFA8
+%FFFD05A8FFA8FFA8FFA8FFA8FFA8A8A8FFA8FFA8FFA8FFA8A8A8FFA8FFA8
+%A8A8FFA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8FFFD09A8FFA8FFA8FFA8FFA8
+%FFA8A8A8FFA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8
+%FFA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8FFA8A8A8A8
+%%EndData
+
+endstream endobj 202 0 obj <</Filter[/FlateDecode]/Length 2882>>stream
+HWn}&uۋ<H:ͅ _QaW^r(i~ɷuvȵ<˩S}wݻ^ѝŏّt2N[; ݲ5|L7GP6@v>Ʒ]×/֟ݽ/{ ýyHt/—uwI}?[xFW=E+&{Dи`#v2
+o 9dG%츀Cqg4W9>a
+NFuJ<=6Xr6z9]nʊdRFў7DQCIc^6&Vt+_3@2Q{ 덆Vh&X T'H,̓
+2Ox4ױH3lPt@K=8v6
+HMbYckʤd)28`Y<xK1P:SER[=X*+V=N M^۱<1UA8K= ab2H% TPںPiMhVRd؋ݯP65(\AW8<`T9S@&LXNz;h T d;6i f4Tm$ik@BmA܌%n1[9 XË$D֤hTVpr֐<)ؾN(OVc|IF*\J:$Qd[
+>ҢTFEq5 ~P^٬*Hd$q^PU3,!:%eRRyL2GME(J籀rކ(.y" R -(̛
+2I~zUTdJoPдu5,mq!7u Sy
+oT=Y
+ ?LY='z7f ¾x u+CJgH+b"
+0W,j/<ԃ-,=ITs҄l.ךMOyYnCeL2dUQB
+ʚD>~*xTBQ!oZ!hIQ V<
+2
+' 9G“uSv)k|0P)ybjpa!
+BYQ/n@EDŏ yovAz]4 wxmFr2 ,7M1)㇤Doz~qT@DqwXn&)n? \Q UeΒ
+iqiT.IqVvy  9
+\p8[O!H C d}l
+,avmwrϋ\GHux
+c0;sxw{y3[lh!kV[&o*~6,u@^t[hdHdgSO/>QqX_ ֳWGZ+;'S~=^ᱻ<Tܹs`
+endstream endobj 203 0 obj <</Filter[/FlateDecode]/Length 16281>>stream
+HWkr8߮]&H=l%rC*K&M %a (ŶPm1hTh4>tC9F#Ϟ*`Z}bD,ʐ.ߪy=iskP){l.a,|xlmk׮7Z^QKva1:[ odpn!,ai
+::AAJRH9! %22ֈ*p~r){O| \,Lu^
+tcG 'M')G4d4
+f*#M@Rt0%c:siu jaݴnC@}<ׅI ].k!USC)DO>sPYzϨ@C-[0}rPqJh
+(W0KMd1U)!X`´&'RoeaҸTT@bAFbjiaor!jYHCKLXm12qȉ);uڵb]n}@=z@{V0j{܉C8'%I9QM @$w> ('bA05q _
+9KxXj)e0[J̙fftV¨
+
+9Pܡx/0鈝Ar, RȅKFD3g)OV,[d,͍Q5QB6hmŁ4>ꚿK]\gj'#hG{tNwfj;\0kZPLI_ЙTP݋_r+ϜctkG@ۮvX^{<2_*%'Tnnl|04֯oNϸÌk1l?/OqQ.jȕUp!#P7 d3lL%U 1
+YLaI@Us|8}-NhIdRr'*'\pMi3
+REtNFR6߼f !>;5T UK8j[qmm2=9* VGT*c i| ^Lym$(9 q R2WE2yPagXvEMcs 1<GGq{YO ּw 7yoBTi1yA(KNΚ8ő8H~4e~Wysk59?=ZxK:`y1g|/!I`dh<5hyn|zu:$~@X:`Ka>20h{oK:*O}Bw4 c$0KENxs~v!Cxl}DNm-] +%\0"T:'m~Œ80S7D Br{DV #}{]SdI=Ҵ>_
+;ρ_g"5Ng0}Rxfr(3p=&
+4 qt~3eEW%/jw+lG28//cƘ@#39#;-smc ym[Pi T<_`fq,TY9k
+E.$6,<.^ 5rTElTDrq zխWz/"+YjX""!
+bK<hxB;:0 A$}{0ۈhO&hMlk=
+ma/0 'JY-\qK&'֨8V;AjZvrS
+'q$<+6
+gTxs{.;V<ɫD{!5*REՠu<#gbZ9+֍[9a7`܃O|-H}V(/ڢ}"nNk4
+4pZ֡z-^
+x:u6#ԍW %|0"Px:i`KOA+Wn3N <kFS4 f}5֮7Ec"36`.Von27c'l!,"ϷTמy !g&Agʝ>cĒZ$e)(IPaqN/VzڶjO|JV9m< Crπ)##T*ɠ4pHb
+a-$V/# J#`2e?_ަql5'0\D52]~=pe@JߟP
+_ X$Ĺ,Tj%DZM@RZK@ޑCUdUoqwOx$!EMmd.ηQ{}﹂o|d[tk7
+S
+9jpBԒRKNՂ֘O\t}qV:_G [ު(-;!pCgGl w4K^J&:Ԡlqf6 -UW|zF䓫/n~|RFQ@4溁}E-.uUQ%geP
+MXd,z8uND=.v= N;KaR/VA%q) 35W)
+aߓ%Ԁ619@-S(.g$MaeǾ ՝Ya(Ph k(OƔ+jaZ0"xׅ='Mo@ZbyOBކDn0z!.) хcƸIn1V.\,8ȡ޿[χ3bphf۠}KҼ
+py\TSk6bXy֟"UlǑQGj>w:$ˡ$ұYw,őFC WJRZ8?3,o ڧoW+#"aGa.7<elini{Xn:`?ilU)פa%P2箆;$,$]Hʅ@nufkpI㤃l9}Bk0MxZNj)j Ƶz=zIaj X`$̖e1,rE=%t!,%jˊЅ%k\顩$]}ݝ DlApF4ȖFTEW[5DlZe`m|'T[5Uji8ooPJ:DIxxH@rˎ|hI-ikc#
+OD%JD54h^_KnڽouT5[ϪwQ.:js/ޫ+븡;fr2Rz'xC!l4Ƌ %X-NUKn3"MFޮ:k:a=y^"!ߠsNf\{+{ؑ>XҍfK")xI(FtBmD2v +6 ӸE<qs7t0I6(ک-roo*$f #JANƗk,|>
+zb3
+ |vw Eg*1QKЖO K{ȮMoz裂J pV7%S ~|?@"J)2^xz$8E1/K7l,"G0DaM ΎPWz}DU$mF
+>Mʾ.a;<=׳tRdW8C5
+N|%KpӲxyƓI7IŅ8 6ӏX&;R"0lFu,)O ezM?gb4~R7
+i OXԊ.>p{cjgI-X?V,a8+C٦e= i 8҇g_ysȁx?sjͷGٌ2{
+vRx+!"tNf~'ngFKԫ?@%g-|\]=-Vw7`lB22L>pN54tG뎓x޷/)JzӀc#?\miLAȠ @I oa!sj:4]ITfR%K!k>
+vux7 =i=ਜ਼E0Mu`su EVTsU'B`!N65 c ۜ7ŀ< >Ҳoێ`ԫcXn$ +-ls jT >,UR7g(Jʲ.u%l6<!1V"Uߍa.<TsKhY:]#il+oێccj`pTp;.aZcsۋΛK( cmz3búA0Rqpc& N-5,,_X#k^LPr߂g)ϛDT7w ۮW!KN1 q 6^eR.1ӑuj#Dh6m;DyxiݳGqݧԮ{^̒Iz,qfi#~$ 0SUeW(:#Qɚ"'%r7 -4^}xO_oqh84D]_w{G0tM %ՎX*yKU7b#[kv
+~az‰Q] a4TpLu圲1'!pH{ȯg|km!"K=v-xoGk?/عe[Щ ݬt߹_K*z(qhxVxd\SehrË\ v?0 .x#+l7WVH%PqgRT,. s&ܦ@7Wj+7JP|f)*t<ݛNMҫCU`bWcx
+򻟣T$]UX#?Fj+}|\͕lÕ2֨쀦!Z&Q+1(yu6)-bL@lΘWtWŜ9[Vq9gv/[.=!0 ƒEa]Xc)IMCYGRt!P
+:HAa#hCܡȻ8⢷ AMJ^zF޶b^sJHشf~謢fnZ_jDd鿦6=K;X! CdٵEBż%x U ҇L1Ǝ%_e?wr
+c[X@j(-sNN.|%"2nj /o#&spǟAc /`}LK4$=wOrT҉ߓcM3^%)(Ń4zV-Q:<\ln1q4H2UW^xzkdXJ-. !9M{[: ~S:xr6vRj!al!ns"J+1Gk
+`NI2s)şIzsvgt~!Z~rz(WrcO-7zS"xj8H:=VRzu_T7<\TɄpLނt~B eɑe97 MΙ
+y:?V
++],^vعdg6H\F{
+6.YY-]ى|>ZGJMh1Ɛ^YZ\*Y(29]-@d(loh뾰f^` tBZ(qTȝEҠsL̳"1R0_Rt$^Hٴk&>9˓v(/]ijvwŒ^,?1pJ_ Q^mgR>8CywG4dA}2݊|<P#1ԔdAkK;y߭96>SͲ}=J_Lx2t'_E̓e_Uu7߬:C5pYD$ZcBNq7@e{܆M<[rR~RР8'hӀR5d O¯:ɀΞo2&ɗs!l6m#͢?z봘l3$i|So oK_=Nj}}7F<Ctl'EN4ж&01(q2Nj\A[@B@}1WZϣp.]y,:!gnέH{Dkc
+2Nddn\Ǵ*U )?{)_='.߷@97/!PR+L+y_]=P\ë-J}^s+mBJ;Yl[J24a'yҽ|[»dZ?l07⼇ySZ10ߝJ
++"u-@88lU+lJן Ņ2-]b{x
+CH$QP\+`@oh <nĹ 6hHE5)nW:1k,z&ؔĊ`')
+fRGf
+܃䒜ڕGZe3aFMyzȯj'XwnTR" 95󧳟
+0rŎUJ'.6ԊOmQazm<ЦtR#@Xa_9?oP
+9*|OiEPEb;cDe}⟽;5E4uwkjξm1so!~L!M$TT*Tv<& rP!گ{nTR$5 "+bz+R©֞}Ea)Ԥ{ɠ<ɑAAjZU˕MZkin>v*,Np;u!A51E1$NEN}*I$J.Fm:V6vV)Nm0ߨ
++GLpqLbL<O/Oh-T<[&Mjz!؉m- *J/T#Nc\TXr$v\s'GZ$T*;ax}l@EM߀D,֤ ⥀e)dLS}1=yxߐk"
+>%1i/lKռt(JXkDŽ>@#LC|5!
+[ $[-p/7=?j#Ej^O * fmF4YʸxCy.YyTOcƭ)]e
+A?o=p
+Cf!>| 092`w>|lLH I<brZC+ [= F~)5/|p 8܌Sbt8<@t㺠Tc=`
+xq/}Ȇ~,D֌PSN8k@&־I&6i
+}3 2)v~DٴZ ZyVoy:œǓ)S+H뱅>q6>v#]S (Պ܂#*ZW"KoKbTy~#Rz &1l2jCCeTakƘ"MhkW嬨9s#F/HiB7D~IӧvmLhv a6x}E9ᴱfuɥ7poxn*x| t(]1z?t9$&:5w7u-!Rm=&TD H(i
+Ug
+Xex]}#/ ˕(^:zU;p>@׹yg- ,zlB;=Žk.tx~E`݈ a6 tG@K"nFOgX둀*~) -bbnM-ȫӄ4R[ TJujw xu F|
+0- ȱH᱘t7-Fi;s{ee6h܃&XiX>Tž<"lW~ymPǪhsSH)-m Nv?z"ŭAk0
+T_XzI@*]1@ K>Z1YϦzIyt|pC@D*
+_ ן-6 a (^`S;+},Dk-}lFf :, Ɛ Mqb
+D #342bRP4U S(<*h)[ȕEa2?PBܵFK{LR>v^ jWv2Fu}OXSd7%8&n,
+Fkm> `Dȟ\kdC͹tg̹hmd^4%%L†.'sJ tDA_gPmdmj,{ƁnOMeVO[:+7|D9&Lala"ךȬ5]ln[ M[;\
+~e h_Y|Ts54kM0t)Nۂ?dl{K{x:k˾_Lc}#QeF m 5e/ᓃk7L\giϭ`bmkr%:Y-ۇq\B0NHz!2ޮpu=o,4w+p%Ïn^'7BVbϿH(?"Oë=I+ : ȧ77D |bru-~ %.{+plҵ2#W}{!A7BkkxzeSuF!K^1R7Sw۷1>wU ~H1eܮń.Dr+bGXXr`Id{q(+>M;0m[cn|a=°lA嚖HM z=7싄PL0fcrpBh:
+v|Dg*@5x K}0JJzCϨVO#T#~D`LJkЕ@Wcj>4FYhxYG`YD4vh%c1R ):x`ZxyW̕Y)uyiNTT' ~0"i4,m͋ vH%0BcdrlPtZrWd>[ R%%^1!^,& .N^k8EB֙[>Bgӷu^y5,VF
+5$F\Ƶ+vZ _kLyg4k1۟ͼ;F[x봛YO܆#`ij2ޝy{;ec@ 8c\ƃyoA:m{j=|
+ @n(gӡ
+EMӒ@KED@_W?K {wgvg]n` c}iV´!Lf 3sw:ot[kU򢺙{Ɏ i4>g)BC|^.$Ӄ7s\S\WIC*L{2A嚻ƟdlHvʆ46CQH#gH{;f=D
+!d5JWP8ײCZx5Út, ye->Q1KYH~1T})BR K̻Pb@Q9Q>}׉pHha_K35#IH /vg iyAF Q E prD3 rF'"}^|B ]Vn>NdLehhTIE}C <g!t~p0;~Tz)z.E&>"d{5m2ҡm[>FCFޙq"N!]>N~RIρk@q$@$E(7kF7v;`=;]˟/r=OV
+|g(cHQH<r13 DlK
+9OS֟H;)&PR3Ȉǚ9Hר?E)m!X?,:W]nD9kmvIl%k@ZM__ѴB[dꡥhl 1^
+t$B;S _?l@a7M DE@-g!Aڼhu˽X}{\g_}>L\OX(8=!!k2b6>&D5Z
+endstream endobj 204 0 obj <</Filter[/FlateDecode]/Length 14351>>stream
+HWk{!~n$dZ\ SU}jUݍ|${Ukgv]S_[G_o<˳˃^K|5_e)
+}
+NkZw6_b#SJLA7lkC\(%%0T%x.va:sΦ0z!/6Wutt0W:E=MZ᳭bk+gtS\J
+>ZcuZ[npˌi
+: rhܙ^`y ^y!>:Vk\Ft B^퉧+WV.k1_X^47zC=Lei1TC1kyk~ҋ
+e9L#quR+ƎR:-&
+Ufŀ^>)υx ғ+7%&SꪕGOXV53t4(7oƄ[XF}ձeoĐm5ߪ֒>+JXDe:hq5Wܞy==JMl \qiV2sqި>ς}~SSuV_;
+}У#vo"z*KgbC
+͔>:[WB8n
+)rI>lt Q*ŊtMJX,7gX= LRHOĕUU.(8sPCwO
+~=΀o]''3k[uMABO E)"|49/S H9vH.sM٥tg0^TӃČ Fy0OrDwq "5L&1\s<ʫ~pow<N@j3 VkД0;qWКVBN j؊Ӽ kp3
+
+yV oC[7kDŽBСX䵗O.Zܢ*чʧ=|Γ~4Sp̘hvh?6]E,>Xnzp2N3Q|~Q:wKDӉ{y TKu25h)sӥZk`$]1t+iOǡӐ!"+h{Njsj#{(4SV'} [ҏ >2 ֘|H^zE=￈ י:/
+Y0CA %)sӨ5<@n&]h?X?ЍmҡzAjtS ݦȴݜ`!
+[0伳y_5OC
+aH3}HrL1DIְU_,"|$*-2"7٨xlԣL>d#7l>^k2y^ZzD3MyI` ²x1k<٨z gnOևۓ\oݖiӎ"—bLR
+h( 7\ӝ't2]>ɓ֢ BT/䈮5`:MLwkGjciJV"U쏱CZ. -u;f1MMvW\TJEk/Ggn5փK2K`Y_D c?q1pS{o VBu;u2@5ϥy`º泥n(yRn3~2xy&YUp,Wx }z%CEZ
+@QDpGtMI ~<s_)V#խN8: W]UkX|ڭV>`ƞv*n:[ jZM`VS$[M^a,ijU.7~)VY4h$V+4jAxX3gp˻FnUQe6?iuo{~A'-d()QN9j|ejNHElj~UDʦ66t[
+P,X(B
+
+܁lG5 ,w,8LNCh؝{.BE9aZYZeN-;]@% Z<#MFM͏y+AxB!
+*a4d B#LE1.Vk@c^pAu+! R yسqv0<v<#z Ptq
+Si1<Msx M7Ӧޱ?
+]rmڂkWD qgv ,җ wlU{]ǹ=3qP[Õ)fY
+oK[^ <H$ȊUM@\!WqvZe</I,x{ӬU5
+Q_;.&=
+-8@qLgg匯3fuʤjbpRVt|m5>IgK$J6@6V# -/DPGE3Vmޠ
+?۟@L+ ?xu#K:i MEDSL@v.׋  ֈRa Xp/*Z,GJu pr?UE @Hf@
+{=(aLuuUw َCλy"Mxz]Xh,X4ƉZ[+taVע޵I|^
+DzҊng TWRk\ M74U\7]u l37i-adIxl/mq>:imɻ?SF۴순?-pP⹟҃gJn`&k>.BQ{Ǔ8p aί4=L8>O{[k~}p4)Im|tV׾Ȉo"PK.<*>^}ѧE}%o縪Ó ,ɥ񟥈ont
+rB4 M68i0_1E?t$*?t u`7"aS]OR/Ou7#>J)i ӫWO^/'j*2Qvq?QQX$)`L3(;"FF ҁR siC%ȤHi\ sT ajs
+Sz@L|0~
+2 4]
+A^3x;,T%5TvdHXoiA% (+6li3Ż 2M
+3hUrwB86cv>fa~
+; f/ Jԡ4Ώf~
+PC=&LoZS9p(4CCX;{y#In-O^Ig 3A0;ǧ/rO%ÃS]MC=iLb bǒ&>%=}4 aׇ hVaw UbM4sS*_o_[hIvUFS2vRMdtF`|JZ/mRfe˃?b?egTA"tȓ$OhKlKF0#
+U%f,AS+E$
+yL320بy猪*(G8Ro\0 p@|q낙$+ ]rG
+s(n<M񁥶XLc '}
+#gCИiѸU:"ԅTa(
+#gB?U󙶰Q~-h 5a{pSź4z{Q[\p
+WgDV*R͉g, y[y7hon,@{F{_±tsms2cF?
+;3C5 QhBjh3|9oi*ob1"&,;4K, 9@( /22C]RTfSRԼg>7rrh{ =nř&6 Խm
+m%0~׋NgaR0Ux#8d)7
+SIEC]RTfSR5/OòCc;&_a0zk
+h/㶐<lN$~tGw.\kHB +;z6\k^rV0l:
+nn_>%
+9^%wîd'A_q*O~h>XʵJ0MB}; Z7 eU:CM$l'^ٗD<8-[y`ɶ Wy\, QZK"T-sN"xc,< Ƀq+IQI INe*<I`yjAڈgv|*I2$i=C9
+TZAi/Z==5gZ<~P'a$rP%L}.}Ev6\ⷣg16J֕5+Ka2Nk>*^yV0ں(׸4PKrkDw
+aeW~hּ{:6V\@:"3/߯SiqP{n9c\T?ܣAٿiֿ5(fW4bnC ּ÷[9r)9kO/'A 1*@9`<\<S T8g5j( p
+FEBR%*=ANui8뻅7hy-}
+iZ"9iA{k>ߵK619iX
+pk=PF:qUJ,A賴B̗|(׬M/L6m"q@X`HWL!b~A#HΡwUx휶;WT6t6xEd>aAϕ{ը'NlHcL(cu.%i3ҏ,֨3JK * P m>4[e͐f{#(g2\'<wuȽ;)&^.@*cEI"bT 0pk0&6Sv1;P3ٮ,Nq\+cm/V`nի?2w "-S*{
+QǃϵjFgEE/[|l2?eq4Ɩ/ztr7joH%[ pb62W)x7s)kk<
+
+hO:u6g<C2f i=o[ hu
+XXPHzP lhF`ٺbN3UM7՟=W=9_i])_i]NWZWWZWWZPN`'~5CPF&ҺbC~ىB}‹WS\RnUuZe NSW,o|M7޶WZW\J9_i]L|u1J$Һy#_i]mD+;m_D5]WГQ_i]L|uէ@|>ب+~4J#H|]Q^J4v|u22Z$ҺB]
+endstream endobj 205 0 obj <</Filter[/FlateDecode]/Length 15298>>stream
+HYW@ZG@ dy"Q《_Ug"H%ꮮڽW;)8Ɣy GUw.Ld"pϝj/AUIl%|v|nZ":CEU@R'go{ï+/0KR*nиʠz'^7&0s(&V|(=&jDuq0*-c70p
+"+;&XW<|V$Q,OM/8)d&L&=t!PRnw1ZII7r \z OJNRU/QIuJ"-)'r<.Y6[~Z<$2/jnQNjQ(w5,(O;Qx?GH $2W GB|~27N#
+PBՄUgEM;oBN0ݗ3P#_9$.h18289i|<Qu1z1i8^?_+/)X\\g.\֚B6thR|'i9R-Z.w8ݸ4ߺʺ.0dGS
+I
+.<mYA.a`z$%aH&;t#(ڶzdKxI/ {)70Y(OjC:*
+z g>m聵øXKj"yίGLt<Kfy˽b+7N6`tU ՆݘN؀ݘݘi_vcBo
+a؍ Oic] :u1:R_t*R{݉Za8+.ʦ+ᣯlB>ʦ+ }eӕ
+a+̴MWi>
+0Y"-E*$?>.02XlwNF"Mh`SH>taݓmtf2ۄb̹b<)z&3w갋 JCPMʼh+fHrPcE<ڎ*O;Qx`mE5Rc@^pGNS XH7fO6gw5pPdMrvKl".qM︮F>?^Alnrs*I ~p`/RHnpB
+C꺡wdvQ2}RMցkfN*W~o's;
+."hӠ[vѕ`wAD^+uʗou-0w-7O+rJlU^,%jrIHn69U5,Ĕ;6gEY3O_=Zxf,U}q5L壾V{2엏@}D}5"[u>G} Ke|ԗ-~4Q_ ~_>Zg|:oG}1iL].7byDW엏2헏bA3헏M_>K`ò_> a^}sQ_e|Z ~/ ~/
+[[n)a'?
+-*T%T0*RRfaftX:%%aKNOnKX\Dh1auREtsX^Uc*6:7rX-1/Szraf9makprXZ4/
+,T%*ä~尰Z}aA~Ta++O$'D`/VW:,hy{lCB7BKXaK*ZqXT䖗RRsXBKXBhnuږ8
+k
+J&ͰB9V6]w 
+3Ma帕dX!`ҤVX=eVXXFaiMa6MaFl؍PzUî
+7Mag^^V]|yhX
+˖eX!`YeX!`eVX4 +3~nX!`H0<"2<
+)˰^7o ,E9[¬ۮꓓMw_c}ܹC<?
+EoWԗtb<HZL[ovIU04KX_E4SD`>]v
+(5k(@O#.֜Ku
+*Iol 5N׀;m'?c}1l
+j,_ nl;[^ݺF~X!<4ykj_W]k&\OF>2a&ߥnjmqވED0QOEcO`{xFkeΩ=иǀVThL/,Es9wr~H
+$snNM93Ix7$WVY,JS:݁eVȣ*~t7B`PXx}ذB⻑gX7`lbB322P(ŰB2 +,n<
+ H4D"B^La_0J0Di#t5m; {gXﯯ㊶y?<
+Öp+2l}-
+K%sX'nnX!`):VXoVX yhX!`1VXinVù4,~7X,y>2 +,NWƟT.2v9H4=i$S!5>4xήf;m@zA?ʡ[~olʡ/RW4b(CՅ_95Pr&ʡ/,_9e~WroK_oŸ~WrK+`#ӯڍ@2 W}n}+iC_X_rkrP_9(~WrKMOk=wO_Z{'+ԯ_9eѯ2C_잾]ǯӗ2OrKOrKOr˧5~ׯbAW}_9iW}S?o=~З-_9]~mQpw
++~]_KZ/~ֵM_n~З
+OrW}i+~З~ЗӯoOE_|7SH|#ԯb[roW
+}`r,?WaMGcR4
+ÖO}PK; eˁhW5ź=L6iZ+]O{Wwsޘ:fb,#GټAO$OY)1={E8dV}{> mxkDKŦ͏nhּ_$_Xv7ddډ?r<k"x>·6b)pZŭ1_վ.<]b1Ρ6-r
+ڿiIljLJ#X >K[TK^ilwT?Ic(Ƅvr)%YiNyPϠ$v=W-EҀ;~xǷ ~ m
+3FقCld2~r7?tIz[l_X/k,hVE^Î4g#T^5KT<z?\Q Rz\mUI?"~8sT빺]+D_vU(+>
+2et4 ĔՖ3C._ VЕꪺV XHPg%XYA<11_9/s(;ړ;aΞfEJ4ZS g%_%bvJ6H FI:$NP1n(A-+4;QPDlQUj)E%PJ~NF# o5ljnF]MCץyо(,㾮
+ BzAtEQDaEZ
+Tqyr7T^ɀ*` aTQq ǔڊ
+aIW̨Wy& 3܋B"+ZX'D<J5Lcw,bygː W{Zx.S$' 'JZ <WbgZ!j
+m/Rاǚmp7)&Y]o Ƞ2L*tHF5+Uifm}x(T"Ґ}Dʡ6n'Aq6!<%M *aǭT=5ȶrbզǸW@_G]mD7mX:hu`5\ fN*{YF&<Eh5*m!,5aa*=9Dv: @&UsD6 uSoYPܑ+Xhϟ+H`oT/d#lar<@JXz鏥3oSw9x&x= Rk7gb,YPH; <71K[C:odxrnv`\>ex]%Kn*I1V O;m;#4;1vF e H'`5ͼ_ax+!d T` o F|?5_gx5wA6r.JbMw 15HrŰ}!0 >=4tkr9Mi>]}_%0D.Dl!KXBL笛Cz<a|>lmBD<S{)\"K|jTchNO l4Ӎ k,݊k*D3E+ձe`d}.5_D% HzF$ąH5nTF+&TVR74?jHCBOwPl~ ոz58;EJ6Kƍ4k{S;2Fcˇ4'4M5}K gUg> 0P"ƕdh=tz )EWڟ _hZ?XkE@Wv)Rc_uE7|63s.(?W9!iq
+p\Ƈ=Ye۽ 3Y;zQ̋ibA P%-<fTݘ?-~BZLK $ s
+^Bs{E9p 7RIs.#NA9`<u0sF?w&ĒX|L'4'%hk&%T:?I&{ǒƔ`1x<C{<n`xUf.د뗝tKW5i\oF7DE/Ob
+ӲfL{sO'a zĂs)=E>sN;pWggh!Ty: s%yOV?0l%EpĔ s{89} Ǖ[Οj\uQׂ .rl:,L{0 ܛ:RH{-yV6`<r^U.D
+?2RmehTh-
+o7>ATGפ](YC~-An֦Aq'QE$ayaDָxB\n)9-mCx{D:usuK{ nC:-0¤[(G{%+" R@7@4ݰUΗ\4d2s/)$m7\7n<MRN`*0wy讥%`He3L+SN>kM:|ct\y9Gy)3ú=ӗ#vNNabz63IݷҋtN<_d%]i'0
+2΀)ͼ(k
+[aK֎`,s]K6\-8 [n&U*t}|Z
+M]L`W $#Xp#npx@SMiQ类z<X>)z *cД-fiF+mcmݬ>6O}!a4ʀTWu5n=ѣWj|Vة-Hݐxؕ&[Ꮠݏl +V^W5(Ƙ %qYrz0mXGoBo: b5"Yvh!j6Z7d7
+#9 /G'-D3Y ፦x~ςX:ƠTďhhQZrgwL/>=U¾[wѥ
+k_@!̱AߌHSB
+̼SU/u$&Of_dt"
+%vyURiH 8q8rƉ3GD3Y/xA+riEm@>A4ªyf\Ag#
+~\B:~n:\ՄĹd]ٕ
+X :ac53#hXGK4UXQDp, (`֎a,&hQ0ӰV-_i$a4 ˹_jXGKHQ[
+Ux  a\a $xQ7S2"g1Lźev}o\
+y6nzzwJUϮſw]
+[j]
+n$ªG*KX5tjvh<p. hz3.!s@`C,ν3p:HnJI̊
+djH1
+Qz_ѐ̩e|/p~t^W++x->î~4oGM>w ʆֈEy{K3_ey&CKӳ[S"ɋr̗P[P
+c[-#ybNkȻ
+UW==d$fhm69=:h)gCޙZ3w Y?ˎsIIKfPى^~xLaEhbgd7U$FV#aZx2>:ooK&S[,q)>rd_EGmAG_ĭ|t8PrQ\w)'1ؤQxaۺ%>=_Q̸K A*l = RRF6+=-GXq2׵\2au:29>X`Ҡ Tgiz䛍BJ Or.֋n9duV_cSFֿ(idal
+\vOnsQߙ67v,FPLqUwJwTh RB
+!wQZSbr3/
+'|a{_HvrMۓH0L)OOM(l(aпϞ5(*
+iũkHhc1OoZts딏FwSRSKSZ~P-Լ'ΣRwIҐ0wOUMTf2TYVn MJz-󨖔ҫɭHZ}g_A^r^G`bX>p6Z&,mP5W1L^n%Znft) ?E0h?* %ɔzMXi%6Ȇ/aM"@AWB:K@)u)L u ]#/BɻLvY!T`T>gDY}DuU7 b @݃Z
+endstream endobj 206 0 obj <</Filter[/FlateDecode]/Length 19890>>stream
+HYSo"C&tf! adt#(~0x
+ۗWdΦB 1ͦf@ko9]DW]x(<{yeolEȭ8y Ϧrs%eX}|ru\-tY0#~M6y'F~G/Й]VzxM<_B"@oDH֖5BTŐ\ yZWK6{#B.( %gr41y\ղ |~fx5Vow = l}g_L6{9myԛ(x}e-ٗDI/Fr|1?@KQHv=UR9Ħ#;rF]UXs])fDQ!'~se6:w Ah,qU/J"ϲ+0i`FUA|S0Œ̘ ƻ> ~pXdj~#͊s^#9eufDbelw%>j~ȺMwfm6 6DaC}}ع05Z99o=ATh| FOuY\R\(gVU4d fz>`s TS7fFuep/HY"3z.y)-[zR)PCmfBnSNGeV"p .I(PS*Ը){zգ=C qe6K NFKZC5e֋Ҡ]oֳv3&:?QX~ep:d
+
+x({t\~j3\i־}wDx >$ɕdEi!̨CvSH;MMmqarM5q${_p4&tۃ%eDAHng}A
+2%
+S˲*&-rŢ^N.=.&tJEN %:yOŮɴ/Tn|bך7_%3!3!ŰyO.)]=Tcw1c3!%:yO.6s@ '錞  skܰ05:Q&ThՀP+KOf*^~[b
+cE&)lQg|ؚfY=ěsy{>lsy{>l9=`|=l ?-|PKJ\ޞ[b\ޞ >=_qYGq{t-~Z>R~폸'&^Gҏ݇m>Fu/nĺ?~wX4'z0LUweAlC$Cp 4@k%
+F=`lbt( Q$`v;#JL˟xNm/ \h>\v%Iq'r,ԦҨ[$\33_
+R -p;ɨK{
+y3XX+ UZ'( pn['٪sJ Dl숇Dp[[SOA.ˑ2Pzhj/IdoB/c] &]}SjhTfZLB鿊6"xlu:@&@Aay fV+E⒍X:hhKֵW q c6tZLj% M0azWJ3h
+͛Zm|nA?O$330_C (4誸4FNgfͅ;}[e}ߋ̺<c/I5^&[|m
+Hume?;bj0)+m؄W@ ̌OkpAǿ;_0.U
+I[|:ۥD1~AM ʡ4sF&J c^)u7ݡIVxF,6=:1ҽ:'2t -̍(z%mz)}]HmNj-{1N檁U*[SIN]Pk`F+}1ԭ{SuL rʇ*չ3F0B=@na+4W4䘌cQt-Ya$hkŻX)ٱ[xCVt٪H,pM`WAmdXc&m,t<.&1f(pCjCn1x7kt8 T*T*үB5XP9n5nfc&a0 \MO%O C̖B)nAEhmߠQ/JF  %\sqTs I}D}aI
+omZh9ц-|P=Ñ~JN isg%CțCegʈƯO.ϧU;gE |Y: M,LGɚ$Q<av!Kw/xRbQH.`it"BSF{ur3cuG+|pyr9$^JCld0w>tDc,5Y¢Y3m) ӊ[ie=[ÿdAae8e:gl0\De AcTB(On^8~lM& cp23qZx&#p_>J`&tU'O}^s{YM-݃܈sN Iqe
+SdkZRh|sKӗcwtrc4bYҕ 1wB?x"2'iã
+Z81MK%k!]eqHn)F[u{UIڽ4ΦHyUm:ra%b.Y <X !H3.A3b,,s\u}z/jP`6,ִ 󩋹pcG\ UƉww՝uͪT⧷ԫT)c_.%!JH|tVh%Q &޽pw<q`$gX};ΰ(#iwt`xp(!)0~˅ <`H5V6=@ cmF7+ݳZXht\<x:^9Ax G}̚qԶ,ņvIvK GXLCmoz-JtK9$JI-pBJzGh䇿N#lq?W#@\>l'S\Йi@s<;N&c)ĜmYVԠTKKX8Asi~qsy 7?l%}Ib(ҰF\]IBN*T<@L+ K><%ݻAmo!҈ivx,3,8%:n%R&u9-ݔVsN^(;$Y\p;ӳ?v
+b`HG?DRr2Ũ7$|NUTYz6W}\TUOx5:qNXA0Ie)Id+afMN_yzRXHLc[{5s7_Gm,Δ@ tSF@i&xMZYG\ݱߜ_~7
+{8A 3pTYCÀΉt0Ut&4eC3 z5&G?VkbjSO1$ruhfkRui,MIbB[m it=^~Ft8֨*O)`BodtT[*Qf?GJW59Md@Sz{lk5ri,Z `ga;5[=٨SOhan}ywMe*.-ȯ54?WY[Z-*Bv,.!.ow^OR]ſI`tkQ=걶ݼ)BCnMNrJUOVHdP:7ROwB=mo¬|f#IPc lNNrFjPc-{5D!̶lNqmNǤ/rl<hE<$6az>p
+0{eDMf͛h2D/Q}#tr
+a]l{F5'QqE4(‹zF~<T<)5t_,(LO*Bo–9C.=0wd>-ع}AN2^3+IOЖS`|۶PCNBcZ
+;m)ٙ4ZcPSNBMaԀڪl'&FfVov(ѦKRޝH4B av,5YW$i lǵF;^6?Ž
+Em,^.d"Be%7'n+3?5w7jb&U׽q>g_"̃Hwۻ {|6d ;O;ǮjMCobfMP5>BŊJ:1wdCRvN$"L^ZICKp|NIC:JzNK', ǪZ7ï4R&690Nc*9h\BU
+ N;I}
+ FT/Zdܫܾfئ}j--赇!gT g _u,R3
+脏^[apk( :Z
+4&9t8عpB l
+l?> |
+҃\OC~8` 7a;0Lr4Đ_*u`$!F]߄AZ<dSܡ
+>L)Q_m+A-*
+
+ B45ǜH |+_܅ ]<ЂK%I=E987
+M,zͲn?z)Q}& v
+r¬鄃&[DkV.~;nJc k6̇4,+Hl$7/,6T[TIMWǧ-f>eI/ʖ
+kWSZ}XrvXjd٫,{x@G 6A [FH(d-p4\!Y 4Hb
+Ӻ.t%Spz(uܻf q{AslPQ .߭}Q7qaE-l&Ô,JcikſjP0r > t5* ~kCf|En<D"w[JӴ$f5JCEz㰝%L5Hv$w #h u_^qL<ʌnt5 p|L9xw/"[!
+u>p%eM}ph-гlXۘ3uM&
+-
+ݶ|k’5`aa…/8I[
+̲--K=ۛ1|%hұ.}҅rZnCrQ`Nt*VN[NSKy%M¡5J Z<7N?7@rLzw'Q]jmÜ\]GBH:f+ݙ)^VL&@+mH‚%loӵu;j#isvhf &MATJ&M̔-[NPWӯ:-oGZ,Odu&}^ܤ:)Ň=Zݽbd1gkˤdeY:HA&mq1 <IJ4
+<Qm垑^~*b)O䞫oKִ$aE 9T8KFh!t02N6+ OD`~ɗv(\"b
+Xh>odތoKoG벎FđUg5G%&n4;<L jX0qD"*7ZtxQ{΢Ɋ;m91GXFyc.Vkm7kJ QPoJJo(6LeGfp u!)ACM$ݭkBm ZV}ֆm˘0f¦ ,1n!'i!g3:븯umž)V!Uk<ՈV'3)9MS@W-TLIa1Bzd~
+zB@stpSNҶ'؄nxύ>dzySO I|T߫!'϶1ڻZvka%mY:O=/`e !0bK˂^sf6 Ey52t 2yXw\7]vݑ',\>9_8G{V|9P
+LE[e08,n+.gX>a1UTq[srׁ{G55XOY*B9&B<6{]|<`P{=A /%"jĞ\n%~Eb}Ӿ6}T8 ^,`ڤҰw>FpÐ)k5*H<>Hf ݁s+VՍL0 l
+ȜN,|}H3>׫7uO.< =h^
+Ô%'rZ]ʸ4w8vEFa=_Z3qi}rMQ3FQ[T"Ÿۨe$}>Tl*jUf-^cUjBy=hm)r¨f1i 9N%6
+m!LP~y%~3A('Db;<85TS?*2!bPa\Q eJ-KX4
+\o0h M@a%OKd|nsnZ8;~3ÚXx!~ٓ@P.ݲ߄`i<F[H$
+ysrBKwE(:j O`/8/**GG 6nSF;:-ŗ5k5r.HX5
+ B NCr7 $K75+=nO^IpZY/ }ͧ(R0U8\WTG!%<O{B6(R[ ~Y( uh(ϡOQ_R_~mvgiZ<-%X
+BnAү1>zX ;7}$9r[PCp[i%LbRDVrHX8+{5TM6buk? F<+&yM 9<Q}45-H!HH<-u;0l_#z^!w"{рBE3ʥB`I&4!UFW$-C֠vROysQֆ2ce*q{%PAACn9VSI^Qee(<lkG\Iq6Ddc^F.mE3jߋdJ#%hƵע1B W-ew^*p?ΖҨ̓$-T>Mkîpm;(/WB4~G YὐXzZ,_%q M|͗B$sH#ƺ.'P(wJh֪؞n/38K[դ0Zf$n:*8B<ITt&'%77Sc]= 25xѮ[5lBe'&<'g2r\9Tę8MᑜNH zYP|gz}4?'09:1̞ߋI'Ftb$&1HoŤ#;&f :1šPnj,xij堻:Ĥ#m{0H*/1o(HC-wP8 Oi,nA6#Aoo|KDz㉋PTן<MBZѶ vji~bb]~ (Ư>KF@t{2in'gΞ a|t4Kiۤ.YJma ']jǩ?-mE8 +T_)봇
+OЊA0!Nd5(Wk5tgv,P0+ $5l֜C S~(ثZpOA8} i9`mڪ ifBCK0vsboh4=i΋HkIŇdoχ/)k.
+jќNe,ߟ3Úr)r`jt9mIx~y?U!$kŽ
+HPT|[U]fƙs䐄Tw-DI(յsātR?[1U@/Pۭ·8?ߝLee
+3Pi]y#iI/![JSHZ_Wp,B-N&;A], t8(P , lPC&[&*
+([U[
+O]r?Qߒ|AoQ X*(]Axg D6u)D3PӨ'uM{|iAh_zm_4`r޾f:q0{ڤ?ydmZ-Arf4s{o H (k²莎
+PplT['x:e
+
+<CX[efU-Ğ 4 ,N3FWNwCYhnB+E.Z[NOZבC Wܘ5p&>CVFXj,
+9ZL$(,q1T-q &‘(nJ#i?ιqJ{ iMˍ tZ1}A#t:0N BS`n\ j t:
+R4.~yQI YPh.́`y
+ )"p Ag\3m tւݾ,Оo&jJg&.DUoniQp0R9A5JY19,cO?j5Pt ;#6Ć}w`}Mma%DVt\=8-@Wkb y2fuTW b(K|Ou>\̥Qi4q4
+T'?*nW4ڲЍDtD˅F/bj3#8)m.UWn盅42m-dD2Wa2Dh}N@$a
+rBja42ô#뵢&~sFQ!VF{9'V`ɷjZׇL"~BGe,30jS#]KZ\fynMA6Bv`Njxpx:յ7}e0%Et obcA-᯾@qUKlJwv9-V pIm ;sjh4D%(`^˭ٸ\ƛƧsB+]c#ԤC @M0=ַm[ y8CHjSgL%,"VV~G<iUM&8pgC.?wY|?@r<]"<[lϝ,5zYi۷. M'!|laTrS'wJ_ *!Ln 4fO<n`%60 ZMr|*~$Y Hj=;mX{k`
+4$$*
+#]V8
+҂iӍ
+:i0vG?".O
+M.|"I>4t0]pAh7cm^R7/SQl< '6Ǜ7MrzD+pVk
+ )"j܌ ۳_:g=7]X.`-E"udYgDP4(?t*L g.,ns#sWHwɆdrh O6upדDÀG|~x|jz 412]"+dO NPKB3n7:TS zN
+`twS{NF !\<I޳ݙ?kiy I e,oW}V
+uBҥ8^*()))*VЄ3Wg|qy \<MJQ-G
+#U<Qkd˜ MY$), .rZzųt)~Cg ^5#Bey,% u]dSS0}KB$i6G=)
+
+qM0Ɂ/*Zj5OcZ#.MͿ j:E` 3I>8OC*]֏eDAK[f , m[ܩ5֫U=Ri20נED5<3d'tW7A
+ ii4^m[K<MP6ba"zƞx5#^V!CBr%5D
+Z\3:^Dz)9ʬ  *|eA7<g oQVcpj$ 5zxE7oe%T0%!
+<ޔ ~l۷
+e|gNH0ޙ\ *P#{ JL_eogwN99&e(=SI6ȠB6"},„x:K4ĭhH~¿ءA$aJn'TI
++o!9j-MFϓi *y%Mmb[mXFO^B"<7 Bxj
+]ݚe=ijqkVVt?{ at5c`J*ŵ#'Ne\N*㷻LyWjQϾ &}贔VfS'ZܲG324<[,{q0/uZ_RH_4*
+
+dP
+L3 ļQw6Ã*%lwn*J>p
+U;WJU1-sPi,eZ {ކ-}_$ 0
+endstream endobj 207 0 obj <</Filter[/FlateDecode]/Length 12932>>stream
+HWYWH}sG'd_@@ք@EA@AdS!7Kܪ}_!WP|lgD̸iO}9޹/91"`dcz>;2oy^%CL;Dl,w^
+/BՈ)}2+i|)U9DŽy1(k[V4ɖtšdFfQL7edVKp#K~gX2#<Cݞ(Z'$
+Y"a(QT6A2F4myֵɑ\-kJ0܏&rƽҮk܍P3n/q8l`&g!JBQɗ ;2GEsK
+ܨ[Ȓ1==Ok RqD6e"ޅk+7EM] %Y(fvMAP
+w&,
+e N.!
+DH&˽xäAA04^1rrw-`B7gD[(dNq^}h{Ozjh^U-
+ESjI `Y %/lcf6R2ؾӇgI͂<ɩi\i3~4.nj|Yd8({Ї#@^mxrEsr4KWsuޒ pG3lA1[%E!G`I+42 qb$3%8uy} J?(4 "4m4hqv4T`oXlXul'J*և)~ AM~|?ص.+4$X\DM3Qn9_^Bs50?rR-tsQqڑ_xBέi|Acwm>Mvc i{k}x÷F`xhW‹xz-n6U챦\l\$1QL%
+dngA< i12 
+َAD.<, {f7c>k]wVpaI@Ɨn}h!`N;/ni??DW Sē8T冤uIc ;zuQhNio%7 ڿ&T4ؾ*<쏥Kh9$ $@82y+BiA\0K);&sC4y$dveeSៈ!jC9O#H |rLI
+|EFM!7+,ߑEH\"lj6@<(oI+koaiIrYWrVP̯k* <JJJ
+N}#o6blZJj#&W]2534\[&MViSc:
+
+1 pŌ.ޏϋ,Yr?<wDZ__P<ad ylɖar@͛<\v۝x 1GDG5Ob;"FnR2e:o$
+s^]{}aq},bؑ/_>z{ aj.+
+Z[i#gٯFRE;W+-[] 6}И x-RQ`_ȬU-70҅]eJud0N3dars$F>X4cjF"
+V*h UeβȰ;.vy<OGazħ\Ud<jg|@[iOK,]
+0H1S*cg<
+ jhY f
+AGDpqH׌yFmz!׶h?8 r21 D{&~
+%@vpPfB=TlbjXP۸D1<j)ZJg18cb*1
+=RQTb{f4* |eK
+e{f~I~c>vi8(Qpsf]B{êc͵C[ dX1iVvZt0dQUU r}3=ؘ 5{yM(Nt۲*<'*K0 9aZN;[ۃIs$;qA&VL<sjWE&5fN:n!Oe7݅gLәa]lז^}Яk~Y[W xZQ{c,evxRlVh\RRܣ }2af#Zn%m6 "/1\B׶羱 :Q['{crM` jL9Z'jI.oyqH]#D6F=zepq|D~g~*
+~JJmK
+xg.M*:U̥ɞ΁+B*oȧ:{ ˃Dޗ0\t,Uxf%d3JuaWVqYuH ,0UAׁr\bp1ȆE?q.MnEK\PJ½^ƢK; Z[s/a|c>8+fCR󝷛9+s:PO-FٌtkC+CƖ$alԠ O
+d}ǀnb.ʈd؈oJ6m@h~cހ
+%,JTm@eqPm@&`Ԁ2kP
+^-O2nI
+iMx%a)JȹJ(S@%lgP\YJAW4E^[ +kVԘ2h܄!",5Q2gN7Z ^.
+l]
+b6{vVQCGķkXEa卪cgy1z>oB<d Ң[ꡖxiNpZ&Xظ3n&iPE$(қh!rմ4 {3\sVQ5 I#gK᥉$xcX>083;ly裣v8qcú"ţ^b|W^l&=j}  =*Xs`~ =
+p>œZl-s6l_y[9E4O = Ju#>Zuf:<g 2[L\[#>C<X!C=7vV3mlS4)ޟO0?^7ZGPB`Z;_NU?A)D́I5
+0wvf:
+M:|t- gJ/hK5nk;_zc2Ӂ
+KzϖnF)GY$ϗ(àςZl'=jM Ԭѝ 2"vr3j|}\-q]ŷ=&)I%9f&5Npmb&sݰnRw:DyuKo󚶯=l}}yS[2V|M6=~q1ۇ0u;6bG-$7B|
+T~g֠` $E?UjUrDyTm̓yD7`Q0W2ﳪ}`2wOUN HMpJ>jUI'jIԋċxQ{^ddELO^bY.`58}Á#S`DI#aɸOXژo9&t@p=22|&L%/ ;/-z² $ 4qICb3Qa,pfԫBgGnz BA&!zH0@JM =Gm
+'1#3Tpy:?)N;Jy>ٮ}3jܴ\[90] @. *15n@Os"@5Փ\~o,
+t,׾a)WNn*r$2}#DtQ#&yM֛,l{z` +p]%H\R)?!vz۞SZ_.~/?]?_З?}
+u\Ξ :JTn<b[( ֮=H#|L
+kc֍TUv6@d_9>ZsD< {ϞMY
+wK^:]]
+
+`@!Qr .օE-lt%=_qd,p,-ow>6WGgQGeȵ\UXSg_|(gfJڡ~W˅&QKjIiE
+ aIښ^d-0ERȋ
+)Y4( Y62+:
+綮U?JJ'x){{lfIuȠ̖hIblD}V:br#Ebk6\PO>36n>bTMTdcU4f`*&yȖ̶"Ɉrʇs|{o`K6o0\b%JXK`H)
+g`:=ͦIE6TR,j:-&&hrSQVE[ x=6o7 s :POe5UX1mEM%ٵZ|iL7p2Ț[ʪ}AxL}N`TEF8|ȢL΄
+XJ
+X9XAN<]]J!Pp ǑZ_GN{A2˴WIu!rIϤ5sп >b%x#wQva1T_7
+#gblZfqsX)Ѹm<^*dh[EYPMϹܚ8Ӳ4Zgo֚
+5z=JCQ
+1٦PqKJ7o=.fHUHeI::FސnOu Ubǣܙ
+FrP<8q!N`;m}DFzN% [S`G ( Jָok]7{]T,&Հsk=$g\'@Lr'q?sOΣ>9:5{|sq ;abi(0q#B~!kѱ!- UEdBg惊q)F9re
+,g iZ" i{ ]
+- O{AJӤ؞OR}yjF;O؃c*Nq#'s|7XJ?~MwSY@KEMz'Q.QoK*,Vav7%jF/1"fR~IOLԬn̶Ti{dZ2:'ҀߪQ0K1{93 bA.{sRU?#Gcj^=)d G&OFZ&4^R_:fA܍
+-ec`R1km%Ô=][6{XkS1@&1#֏33uͬwzNfUgH#6ytt ݻ~֑$goLw%tPrjM-"ޅ sW
+endstream endobj 208 0 obj <</Filter[/FlateDecode]/Length 7127>>stream
+HW; ?&-$y?ʆKU1T@ڀ#!gy~R=w9$sV$oEL*o~ϟ>~o߷#ߌ
+I|oӏ?~_+??b֩~.Q
++^b~ VTJ Rjq*
+pȒ511<Td
+YqYkK՞`4ɾ6kWA]bZYcPco՞JX9M`:7Мf:{ҾdҶ=50gX8"wP$ru@"Gꒂ9ΫXژD0s4iO !.*Xh4҇i}:uS߇kAg)dTЙ7lZ5x)<L"]U
+evr2h~ʛjNCU>ϓCbQLu)sޛM%H$J
+Wׂ3u>b2(04_ Vݙ83CЕ.F2EY2pF$uY%3 {kİWwߗ[\ے }j)1Mҹ^
+yZ}}nxdy
+*)*Y`8vO%: :$8G6Qpy3<e?yvLv`8
+9 uRoy
+ wE=PAE_1_|/5q9En^r~RkrRKc2ʤwyMy5ww9Ԙ ȯvl|FdyH
+HZn6Q2dҚ\rM Ň E!"ܠm(7Z TnP
+to,_qBk^s!>GSMt6ߍD1l5`" +̚Ov|~2z#;JEFK׉Axm3DjUH~]`?FŧC~ZED|c/<~;xMEf`[L!\VzZV <h}׶uH$/W<=܏zy) 7i ~']#I"09iJ E^
+.UWZVfO-JcRHBFz2&4@=\N+X>}XL׬BA&{_j9YN$F}}11nʹvĠthAc
+`rMgPǺԄYZW
+Zt|8^2H$Rajr%bȐM
+Q)U˖"O.^c8Åo>qj~Ɵ?4&JU c;Ҩ6PrD
+zIOc*sdy
+y$I3mr ^d$[/\)jȍŧ6I n8<DgB3Z7 VyU&촿Ei
+\LDoAB_R0Z5 jI]o~{Xo2~/ǠU=Ք`M6`Ji8p
+
+YŵL%
+Πɧ0hJuZW(%\Vm2KlMA
+u&3(̈ &n qDGTb'Oi0ptJ&DJ7F0'b ms S~(=<d<z*2X;vӆPF
+7<bR46oP?;N|wPzdpuW:# >ZVr.\ ]ލlJGFe,&09
+\]SHi|
+~fVRaR3
+:>Z3C0۰閎Y:d4q"K< 3(ߪOQz'Wc쵙e~}#uV0񻦸O~LRszДoM(#ۥz`ur* L;
+^ڧCΫ$kVHwϓDj1ʽmW?, )l[8aJ=gH8E$Uqn7n7 PtAwd>5-7W$T!+ L|3HƊދs̍2_Ʊt4$PhE7&'NQ^éQ30"SKڿjͥ>
+uQ dBG'BzQVPb(냄PРPX.c *d_ku 8 `[2@AӼ?\ )] 70!(5E핒 \%^krHy6H#9[@cv2'|L~r̕v~%ml lm7(
+vM9q-HRZq1A.c}+a8ymMEy+rQ@s!Ob>j3 cpN2 U4N@!2H,փ
+Af>h[FZi -цȦS$LTes ol?@ۛ&Ñpϵߟ;o#AFZ
+ /A'PkmK _lD%+|k/k% u=0p%@8ƳQOn
+ʖ?
+$y#Eg$NlK"HlGA}DimM4|F1YQ
+oA̅ 8R>¶Tn=?}}/ pfZ׺!|MͲ,3^|U+\қY[@ڱ A--/S})<{a3[kli ߉ٻZPi}/ϭvn*MK] V}#GrºR̖3}vvg̢Zp#]swLΔDPδq&( *>.(!خ,t>
+Ўy69u鴆}qz!4`=Ze@%`>ee< 2 .TkegBHi$a)hg1IzAqlZ0慦.l霻d_ZuO AJA^t҈-.[e?v_.DI&w,cܥyX֕Iʲ(b%sQ ˼J?gaiaXYN*`P,"ʓ獬AwRp 丞ɸ7+
+endstream endobj 209 0 obj <</Filter[/FlateDecode]/Length 8591>>stream
+HW;\ ?l6IeåCbm@pvuxHVnB.foG޿ǟ>/\i_|~חoO[vg7F h.V
+fk^{B+`9d !
+LXoc38
+:FJs1QfL~ Gg{@*39d_xO\z;,I3k4(%cxZOaB„]= N4]Rgh08 !Kuen!˓vNYk413 jR4| ^h$F;@9Sn@<! Wb:2OK1|HEՈ'gOH#y I+BUK
+9h_k/U 5)"<D'.3w9,O1M>l[6lzE=KK./Pc&
+n/\Y֠8so`<!)Tͨ7dVKF<З9xƑef ٌB-*{ސvI@R
+
+ O 6{
+
+ :@Q7`gLs4W12I Ru8u2w8ks!~Y-C)>z}0] ھL9ִ8U4nj]txԆ.3V D P!g=mvJU~(%OJqmj4Fڊ?lv.{lue@ON%q{RnkiZDZ엛4Ց?D%iҋ]5: R5W5ʛ(ѐngX~&aԫIh\L.h4 j$U
+
+b^‚=һba}AЄE[JK.
+&d$FS d[]$yt{=ɠ뼵6˕el gk9r_9Ŭw5
+MOD[otʼnЬ1Hk%VpF5-X3rEV88pQp[[\`پl4ۛp$.\oI@6
+0_sJ7 Pǹ?:u{; d9@dDG28^=@:XnuD &4
+M0^! @Q!8#'!2wUMӹsN0 d4繊` )ƁUJsc܋­LyR H5Juã۬y
+ `&4L8Pu>
+ pȵ׫%m'߬̉5=<*ͣr\m)
+u~S5S<-U1]i ڳN
+xA`9|ofH|"YNm(3…%<g1{r
+ />fQ$2U- b^dyrgl|-PJU2j
+ps$χ9J Eaǂ2NJ;S6F<&ʀ_ f^b
+Gd9M)n\Cp4;u^h_˅:(Zu rׄ rP MƗuNW#
+ӧG- N͖)m`HA&T_|J:n>z$ݲNɎurv>]:-lx>]Z֢0LAu_i>e
+DKl.J
+YU zY
+՝-eQg
+xC̸!kpZ*ɕց';$j>F Y^}$F"9
+m_}M%K$Tbb^!`vtX`uc#H{xNj|uG_yxy>B(0_{EÏZKwČ/1(U1@EsR a
+0.*X k]
+ȁ73ɖ0*P}Gw}X13_tFЉnZa
+4i˓ӿW*:MWDb1U#*x T̜[[;G#j
+ӕT7GT_z3uMH_1,Eo/"
+ɾoɾUO3kW~ޞ*A
+~v~䰞F_w܎n;7wSs}Ϯ嬟~qVZqP_Gs4+w6&OyY.>
+EtۘBMob
+F),Vƒv)R4"]idS?zejqHD'7C\"ӜU)LH~&7%*&D4'DJ][L%i%2\KfY֌"ehI%IC-v^l?_ #ƍO H[.ogeBڰY5iv،6 `Yi,6k,슴YV6Q
+0# 61--kaC4'@lޠ ycz}zViIX9ܖ9= :U97Gy3f-: 2L2/>$_\ 'I(m, $V[h$5{DVQIOIsr)Vݭu@*B{H[1hB=vpZWK}/Y tHUڔDWhCʱ{hFar|@ÝX G|@UYI M6%.%M"%֚ XP (8dW=_zFlGtV]…s} 4bNkx8ISximMDh!$sMBa7fʯYu\
+oK?CnݓrB6)H{E@dzS.Hޤ 4"G4"=gm5 voJ^9΢B",H!O R+U\ӂkOpqLyoVʀU|y(HRM?s*rcbS.ˑ|^O~ZVcsaN}F7 1/34s/c,1G/⯘k.G6DU` $$Uv/83F)lj.ն{&ɓ]%\YRbsbb4slRinuo7oZM4-ܡq& k;Ō0f\s8!c Xo|{&:ǎx'^"b;mK/~񶛋ɘZ{ƚZ>\ )&AAX1.c<]ef35Y1B
+}(iD
+#0bybsi6h\y5n.ż4\y6+EʈpZ+`z;z-AC뮅^_ƋCk%+HšW'z7#SR/FމzPUM8F#glb7?~¿
+endstream endobj 210 0 obj <</Filter[/FlateDecode]/Length 10368>>stream
+HW˪]?IIߏdd#<o02 F k"#~ԣ{ݘ`sUյ^~/O_z+^*T:1ŏ|;?}}WBҩį/o޾H򦔙zeNڠʝ4=GZ׫.+sUnިu<EjW)VU:ksY7T-A;\\ՎQ1u^
+Xi%l^eZs٨tt*әA(;Re.NcIn)zٖÁ {]LIvefqwnqdԅ.68O#-S.xy*/Sn
+|[i4--}RP|_\o}s!٨1nNbI`qq֩dlN0ޝ \q}I,IDʀZThh1B
+ n uS;J0)rt\ޑt;\=gQxVm/m)ؗ8;/by0LG'-bULX?S4TTez K-j)ʜnɰ2Cи
+NrlfĴp
+u,20S%n_"#"9{iz}_xi@=A>s>WzC=W̩RTgI&r /,~
+`CX6JoeC]3IGhc&EֲtmyW08ЇAmA- &8(@{_XqC!kTP *visWRq<|a S oDYo.NK"urwr"QݴtTi )ryjF6F |;RoPN
+ª`Jz]9Kė,WAs/ݤ' vdo=
+-;+<ڴ
+Q$El;um2p 9`0)Y~R+&Uak@ԋJQ7(t z
+tJغa]9 THxZ\ܱ:rg .t,o_οyZwcO+
+EBouA[XNg6CEk RB-&r8JO 9#j13I9 }2H F`$)$DI˻n۷J p<6=tJ=Q#,#̬7}uޣq
+ň? WI
+'LDJܙ}v6.b|`|
+@x;AVUHeVj:7Pъ^w>( 3aPKYC I#d-j>s_8H4IY%I3؉)$
+KE"f<7u eTD˟&u)B²N
+a Mfvհ`v7Nt;hE#`2QA3i 7Lzc_XYZu]oYvMa'6rlͫbX"I,xkԾўՓ?hO2Lmׯ[颽lף_y(Г;l<Y nPڭnj=0 N IL(r{˳
+ȾKHP2W9ƂMr K2K0(UZK
+߰w̹ll9ֽf5Q5Z Tl˓p.!0a0- Y3Qvbn~l<
+׋2`sm1g4pƴ֠1-X(6>ՊjEaoA6:tTgapZπ2 @NHN1(ϖ
+pUӁa r5Vk{ 58d\3aA#5Rpk-Rp }y\'u 0B8%g yزMYVUnqu#*#pbǚ8s`1Kɾfh'L
+Xۈ]F^iϣht0fsOuJ@P6*Ed spF7pQidXTd+@~#JQiŽ:<ZEo]ELKme}m3't(} tXKe/QVP/wX!ͧkVj;R٬\rEpDfL ze
+ ʤ6SZI% *(`!5ȅ͕v,;Ì/#D@4+fPcc^0⿡Z׹<T϶z N?VV)ŜW9Τ}
+3~5Opto?-kSsb_OAra5ꯔr2:^ e,h;h9VR#70vɡcC*>vLymrQ|ת[w>ͱbBtVJJs'5i1/e'P6(AeWu
+lmnφ';m?_`h<A饱d%n63^8Y;]
+mc TqͭgB)V8O꼙[Glw60߲4GҘjZ"1'2KMrJpnuf~!ޚCBfiDĝ3هAN6/
+폤] YC5(Byx1m *KR0˧7_ňRJXhfLIm;y(5Y}30TkDM~ZT 󕛆(9h7O "D|WWs3klD"hͰsF\|5]oA6\
+?EjrisT>04T:vMRMSe}
+6 .[{wҒ(\hA$pfEd5S
+P4rO\WXKv0k-zW
+:y9Bi*,oYq> $HJP7OQ;2 Hî-jC40e=r\MOF֠6ɠ׼q.Ur"; cJCo)]ǡ_5twRpdŕ-1o9_(:u
+Rր'O\] (߮UlF(Ӆ!mu|YGBY]U 65KlS}vDqwdm8 loDEd=4m0={¼֝IaBn4J =BS#1i?/ۏaz`W\VhC ׅi"P#(^ޕ<+Sŋj͚Vd>jȾApu:Qݞ^ު~=fӺô9lB
+Cs5<k)ȶVɐ`yd(V'RЋ*=y*3bGƤESh%=.%BqVIn,iˆO5u 
+&Z^˪k_SMe#j.{\[<6D+}/|
+x D xjj`x>Cx5PjH;_HGŻ)xyB]}b"+ÑCyZSA}\ZPy=Em9#,4Œ햣J.glHj_mOKoL*?ɾ Y]Ҹ!A1Jw\e2LPrdJ'ނn>--q7!$ p2dWؐ9jM]y$Ϋ]~L56oF dCՖE/aa}?`Ό`}?L_LM}#
+X+vb}?PwYb3W&ǫ :='5G/k BR ϩ]bх_I{**+Õ.yr.]s)H+4uLt?LTq7MrIGjAZ[dHcK!R'ZiRlH:?$g~L4%}6emW/%Nly?-ݝR]|a*f<f1Zg&]q׮=Ww ɻTP^T왠?N$]
+?H`EFη;S6ٖN7+&_Tƅ~k_Ͽ~?яo=^17~jBKӓ,<& HZ=Eo1oJB|{].}"ş_f1ͼo61vu!]}Mqm@pD5KkuID
+dpF4a8AډK2'"#dbS] C{=ۨ6jj4Bs4FIyk+ vY)`KgN'gSfB4g&Zp]lvu2h%#^ b3°/G(|`MU :<0ol>/G]Ӽ8\`N>k swZ "ljO6H(k2Y>jst,0.M~[;u?u{λ'v\; ?p}O( J j V!Jra5%q
+endstream endobj 8 0 obj <</Intent 20 0 R/Name(Calque 1)/Type/OCG/Usage 21 0 R>> endobj 43 0 obj <</Intent 54 0 R/Name(Calque 1)/Type/OCG/Usage 55 0 R>> endobj 77 0 obj <</Intent 88 0 R/Name(Calque 1)/Type/OCG/Usage 89 0 R>> endobj 111 0 obj <</Intent 122 0 R/Name(Calque 1)/Type/OCG/Usage 123 0 R>> endobj 145 0 obj <</Intent 157 0 R/Name(Calque 1)/Type/OCG/Usage 158 0 R>> endobj 157 0 obj [/View/Design] endobj 158 0 obj <</CreatorInfo<</Creator(Adobe Illustrator 15.0)/Subtype/Artwork>>>> endobj 122 0 obj [/View/Design] endobj 123 0 obj <</CreatorInfo<</Creator(Adobe Illustrator 15.1)/Subtype/Artwork>>>> endobj 88 0 obj [/View/Design] endobj 89 0 obj <</CreatorInfo<</Creator(Adobe Illustrator 15.0)/Subtype/Artwork>>>> endobj 54 0 obj [/View/Design] endobj 55 0 obj <</CreatorInfo<</Creator(Adobe Illustrator 15.0)/Subtype/Artwork>>>> endobj 20 0 obj [/View/Design] endobj 21 0 obj <</CreatorInfo<</Creator(Adobe Illustrator 15.0)/Subtype/Artwork>>>> endobj 182 0 obj [181 0 R] endobj 211 0 obj <</CreationDate(D:20110623071659+02'00')/Creator(Adobe Illustrator CS5)/ModDate(D:20130215155127+01'00')/Producer(Adobe PDF library 9.90)/Title(614008235023)>> endobj xref
+0 212
+0000000004 65535 f
+0000000016 00000 n
+0000000222 00000 n
+0000027867 00000 n
+0000000005 00000 f
+0000000006 00000 f
+0000000007 00000 f
+0000000009 00000 f
+0000230366 00000 n
+0000000011 00000 f
+0000027919 00000 n
+0000000012 00000 f
+0000000013 00000 f
+0000000014 00000 f
+0000000015 00000 f
+0000000016 00000 f
+0000000017 00000 f
+0000000018 00000 f
+0000000019 00000 f
+0000000022 00000 f
+0000231199 00000 n
+0000231230 00000 n
+0000000023 00000 f
+0000000024 00000 f
+0000000025 00000 f
+0000000026 00000 f
+0000000027 00000 f
+0000000028 00000 f
+0000000029 00000 f
+0000000030 00000 f
+0000000031 00000 f
+0000000032 00000 f
+0000000033 00000 f
+0000000034 00000 f
+0000000035 00000 f
+0000000036 00000 f
+0000000037 00000 f
+0000000038 00000 f
+0000000039 00000 f
+0000000040 00000 f
+0000000041 00000 f
+0000000042 00000 f
+0000000044 00000 f
+0000230437 00000 n
+0000000045 00000 f
+0000000046 00000 f
+0000000047 00000 f
+0000000048 00000 f
+0000000049 00000 f
+0000000050 00000 f
+0000000051 00000 f
+0000000052 00000 f
+0000000053 00000 f
+0000000056 00000 f
+0000231083 00000 n
+0000231114 00000 n
+0000000057 00000 f
+0000000058 00000 f
+0000000059 00000 f
+0000000060 00000 f
+0000000061 00000 f
+0000000062 00000 f
+0000000063 00000 f
+0000000064 00000 f
+0000000065 00000 f
+0000000066 00000 f
+0000000067 00000 f
+0000000068 00000 f
+0000000069 00000 f
+0000000070 00000 f
+0000000071 00000 f
+0000000072 00000 f
+0000000073 00000 f
+0000000074 00000 f
+0000000075 00000 f
+0000000076 00000 f
+0000000078 00000 f
+0000230509 00000 n
+0000000079 00000 f
+0000000080 00000 f
+0000000081 00000 f
+0000000082 00000 f
+0000000083 00000 f
+0000000084 00000 f
+0000000085 00000 f
+0000000086 00000 f
+0000000087 00000 f
+0000000090 00000 f
+0000230967 00000 n
+0000230998 00000 n
+0000000091 00000 f
+0000000092 00000 f
+0000000093 00000 f
+0000000094 00000 f
+0000000095 00000 f
+0000000096 00000 f
+0000000097 00000 f
+0000000098 00000 f
+0000000099 00000 f
+0000000100 00000 f
+0000000101 00000 f
+0000000102 00000 f
+0000000103 00000 f
+0000000104 00000 f
+0000000105 00000 f
+0000000106 00000 f
+0000000107 00000 f
+0000000108 00000 f
+0000000109 00000 f
+0000000110 00000 f
+0000000112 00000 f
+0000230581 00000 n
+0000000113 00000 f
+0000000114 00000 f
+0000000115 00000 f
+0000000116 00000 f
+0000000117 00000 f
+0000000118 00000 f
+0000000119 00000 f
+0000000120 00000 f
+0000000121 00000 f
+0000000124 00000 f
+0000230849 00000 n
+0000230881 00000 n
+0000000125 00000 f
+0000000126 00000 f
+0000000127 00000 f
+0000000128 00000 f
+0000000129 00000 f
+0000000130 00000 f
+0000000131 00000 f
+0000000132 00000 f
+0000000133 00000 f
+0000000134 00000 f
+0000000135 00000 f
+0000000136 00000 f
+0000000137 00000 f
+0000000138 00000 f
+0000000139 00000 f
+0000000140 00000 f
+0000000141 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000230656 00000 n
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000230731 00000 n
+0000230763 00000 n
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000000000 00000 f
+0000059814 00000 n
+0000060367 00000 n
+0000060557 00000 n
+0000059621 00000 n
+0000231315 00000 n
+0000028373 00000 n
+0000108608 00000 n
+0000108440 00000 n
+0000108214 00000 n
+0000108326 00000 n
+0000057874 00000 n
+0000059056 00000 n
+0000059106 00000 n
+0000059696 00000 n
+0000059728 00000 n
+0000084011 00000 n
+0000078371 00000 n
+0000060888 00000 n
+0000061143 00000 n
+0000078631 00000 n
+0000084260 00000 n
+0000108684 00000 n
+0000109056 00000 n
+0000110216 00000 n
+0000121974 00000 n
+0000124930 00000 n
+0000141286 00000 n
+0000155712 00000 n
+0000171085 00000 n
+0000191050 00000 n
+0000204057 00000 n
+0000211258 00000 n
+0000219923 00000 n
+0000231342 00000 n
+trailer
+<</Size 212/Root 1 0 R/Info 211 0 R/ID[<02BD44C984E3B1439D9A5802C9572CB1><A2FCDA2B17FD0544B3C56FBA32AFA5D6>]>>
+startxref
+231519
+%%EOF
diff --git a/contrib/LTC4415.pdf b/contrib/LTC4415.pdf
new file mode 100644
index 0000000..d7f3a4e
--- /dev/null
+++ b/contrib/LTC4415.pdf
Binary files differ
diff --git a/contrib/kicad-libs/opendcp.bak b/contrib/kicad-libs/opendcp.bak
index 541ce14..67c36d4 100644
--- a/contrib/kicad-libs/opendcp.bak
+++ b/contrib/kicad-libs/opendcp.bak
@@ -1,13 +1,16 @@
-PCBNEW-LibModule-V1 Mo 01 Apr 2013 00:52:11 CEST
+PCBNEW-LibModule-V1 Mo 01 Apr 2013 02:29:31 CEST
# encoding utf-8
$INDEX
+Dual-USB-WE
+MSOP_17
WE-TPC
+quartz5x3
$EndINDEX
$MODULE WE-TPC
Po 0 0 0 15 513CF2BE 5158BDF3 ~~
Li WE-TPC
Sc 5158BDF3
-AR
+AR
Op 0 0 0
T0 0 600 600 600 0 120 N V 21 N "WE-TPC"
T1 -20 -820 600 600 0 120 N V 21 N "VAL**"
@@ -35,4 +38,275 @@ Ne 0 ""
Po 0 -433
$EndPAD
$EndMODULE WE-TPC
+$MODULE quartz5x3
+Po 0 0 0 15 4F73A6FD 00000000 ~~
+Li quartz5x3
+Sc 00000000
+AR quartz5x3
+Op 0 0 0
+T0 0 -1250 600 600 0 120 N V 21 N "quartz5x3"
+T1 0 1300 600 600 0 120 N V 21 N "VAL**"
+DS -990 -630 990 -630 150 21
+DS 990 -630 990 630 150 21
+DS 990 630 -990 630 150 21
+DS -990 630 -990 -630 150 21
+$PAD
+Sh "1" R 748 433 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 728 -453
+$EndPAD
+$PAD
+Sh "2" R 748 433 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -728 -453
+$EndPAD
+$PAD
+Sh "3" R 748 433 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -728 453
+$EndPAD
+$PAD
+Sh "4" R 748 433 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 728 453
+$EndPAD
+$EndMODULE quartz5x3
+$MODULE MSOP_17
+Po 0 0 0 15 5158CA47 00000000 ~~
+Li MSOP_17
+Sc 00000000
+AR MSOP_17
+Op 0 0 0
+T0 0 -360 600 600 0 120 N V 21 N "MSPO_17"
+T1 0 500 600 600 0 120 N V 21 N "VAL**"
+DC -620 420 -540 460 47 21
+DS 800 600 800 -600 47 21
+DS 800 -600 -800 -600 47 21
+DS -800 -600 -800 600 47 21
+DS -800 600 800 600 47 21
+$PAD
+Sh "1" R 120 350 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -689 855
+$EndPAD
+$PAD
+Sh "2" R 120 350 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -492 855
+$EndPAD
+$PAD
+Sh "3" R 120 350 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -295 855
+$EndPAD
+$PAD
+Sh "4" R 120 350 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -98 855
+$EndPAD
+$PAD
+Sh "5" R 120 350 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 98 855
+$EndPAD
+$PAD
+Sh "6" R 120 350 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 295 855
+$EndPAD
+$PAD
+Sh "7" R 120 350 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 492 855
+$EndPAD
+$PAD
+Sh "8" R 120 350 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 689 855
+$EndPAD
+$PAD
+Sh "9" R 120 350 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 689 -855
+$EndPAD
+$PAD
+Sh "10" R 120 350 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 492 -855
+$EndPAD
+$PAD
+Sh "11" R 120 350 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 295 -855
+$EndPAD
+$PAD
+Sh "12" R 120 350 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 98 -855
+$EndPAD
+$PAD
+Sh "13" R 120 350 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -98 -855
+$EndPAD
+$PAD
+Sh "14" R 120 350 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -295 -855
+$EndPAD
+$PAD
+Sh "15" R 120 350 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -492 -855
+$EndPAD
+$PAD
+Sh "16" R 120 350 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -689 -855
+$EndPAD
+$PAD
+Sh "17" R 1120 650 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0 0
+$EndPAD
+$EndMODULE MSOP_17
+$MODULE Dual-USB-WE
+Po 0 0 0 15 5158D4C5 00000000 ~~
+Li Dual-USB-WE
+Sc 00000000
+AR
+Op 0 0 0
+T0 0 420 600 600 0 120 N V 21 N "Dual-USB-WE"
+T1 0 -400 600 600 0 120 N V 21 N "VAL**"
+DS -2460 3120 -2460 -2280 79 21
+DS -2460 -2280 2460 -2280 79 21
+DS 2460 -2280 2460 3120 79 21
+DS 2460 3120 -2460 3120 79 21
+$PAD
+Sh "1" C 650 650 0 0 0
+Dr 394 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -1378 1575
+$EndPAD
+$PAD
+Sh "2" C 650 650 0 0 0
+Dr 394 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -394 1575
+$EndPAD
+$PAD
+Sh "3" C 650 650 0 0 0
+Dr 394 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po 394 1575
+$EndPAD
+$PAD
+Sh "4" C 650 650 0 0 0
+Dr 394 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po 1378 1575
+$EndPAD
+$PAD
+Sh "7" C 650 650 0 0 0
+Dr 394 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -1378 -1772
+$EndPAD
+$PAD
+Sh "10" C 650 650 0 0 0
+Dr 394 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po 1378 -1772
+$EndPAD
+$PAD
+Sh "8" C 650 650 0 0 0
+Dr 394 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -394 -1772
+$EndPAD
+$PAD
+Sh "9" C 650 650 0 0 0
+Dr 394 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po 394 -1772
+$EndPAD
+$PAD
+Sh "12" C 1181 1181 0 0 0
+Dr 906 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po 2583 -913
+$EndPAD
+$PAD
+Sh "6" C 1181 1181 0 0 0
+Dr 906 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po 2583 1764
+$EndPAD
+$PAD
+Sh "11" C 1181 1181 0 0 0
+Dr 906 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -2583 -913
+$EndPAD
+$PAD
+Sh "5" C 1181 1181 0 0 0
+Dr 906 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -2583 1764
+$EndPAD
+$EndMODULE Dual-USB-WE
$EndLIBRARY
diff --git a/contrib/kicad-libs/opendcp.bck b/contrib/kicad-libs/opendcp.bck
new file mode 100644
index 0000000..a9ffa18
--- /dev/null
+++ b/contrib/kicad-libs/opendcp.bck
@@ -0,0 +1,3 @@
+EESchema-DOCLIB Version 2.0 Date: Mo 01 Apr 2013 02:01:54 CEST
+#
+#End Doc Library
diff --git a/contrib/kicad-libs/opendcp.dcm b/contrib/kicad-libs/opendcp.dcm
index f7483fb..8d9ec4a 100644
--- a/contrib/kicad-libs/opendcp.dcm
+++ b/contrib/kicad-libs/opendcp.dcm
@@ -1,3 +1,3 @@
-EESchema-DOCLIB Version 2.0 Date: Mo 01 Apr 2013 00:47:36 CEST
+EESchema-DOCLIB Version 2.0 Date: Mo 01 Apr 2013 02:02:43 CEST
#
#End Doc Library
diff --git a/contrib/kicad-libs/opendcp.lib b/contrib/kicad-libs/opendcp.lib
index b108e1e..f5901e3 100644
--- a/contrib/kicad-libs/opendcp.lib
+++ b/contrib/kicad-libs/opendcp.lib
@@ -1,4 +1,4 @@
-EESchema-LIBRARY Version 2.3 Date: Mo 01 Apr 2013 00:47:36 CEST
+EESchema-LIBRARY Version 2.3 Date: Mo 01 Apr 2013 02:02:43 CEST
#encoding utf-8
#
# AT90USB162
@@ -43,6 +43,62 @@ X D+/SCK 29 -1150 750 150 R 50 50 1 1 B
ENDDRAW
ENDDEF
#
+# Dual-USB
+#
+DEF Dual-USB J 0 0 Y Y 2 F N
+F0 "J" 0 400 60 H V C CNN
+F1 "Dual-USB" -5 300 60 H V C CNN
+DRAW
+S -250 250 250 50 0 1 0 N
+S -220 220 220 135 0 1 0 N
+S -125 130 -190 125 0 1 0 N
+S -20 130 -85 125 0 1 0 N
+S 85 130 20 125 0 1 0 N
+S 190 130 125 125 0 1 0 N
+P 2 0 1 0 -100 -50 -100 50 N
+P 2 0 1 0 100 -50 100 50 N
+X Vbus 1 -400 200 150 R 40 30 1 1 w
+X D- 2 400 100 150 L 40 30 1 1 B
+X D+ 3 400 200 150 L 40 30 1 1 B
+X GND 4 -400 100 150 R 40 30 1 1 W
+X Shield_1 5 -400 -50 300 R 40 30 1 1 P
+X Shield_2 6 400 -50 300 L 40 30 1 1 P
+X Vbus 7 -400 200 150 R 40 30 2 1 w
+X D- 8 400 100 150 L 40 30 2 1 B
+X D+ 9 400 200 150 L 40 30 2 1 B
+X GND 10 -400 100 150 R 40 30 2 1 W
+X Shield_1 11 -400 -50 300 R 40 30 2 1 P
+X Shield_2 12 400 -50 300 L 40 30 2 1 P
+ENDDRAW
+ENDDEF
+#
+# LTC4415
+#
+DEF LTC4415 U 0 40 Y Y 1 F N
+F0 "U" 0 -50 60 H V C CNN
+F1 "LTC4415" 0 50 60 H V C CNN
+DRAW
+S 550 -600 -550 600 0 1 0 N
+X IN1 1 -800 500 250 R 40 50 1 1 I
+X IN1 2 -800 400 250 R 40 50 1 1 I
+X EN1 3 -800 200 250 R 40 50 1 1 I
+X CLIM1 4 -800 100 250 R 40 50 1 1 O
+X CLIM2 5 -800 -100 250 R 40 50 1 1 O
+X EN2 6 -800 -200 250 R 40 50 1 1 I I
+X IN2 7 -800 -400 250 R 40 50 1 1 I
+X IN2 8 -800 -500 250 R 40 50 1 1 I
+X OUT2 9 800 -500 250 L 40 50 1 1 O
+X OUT2 10 800 -400 250 L 40 50 1 1 O
+X STAT2 11 800 -200 250 L 40 50 1 1 C I
+X WARN2 12 800 -100 250 L 40 50 1 1 C I
+X WARN1 13 800 100 250 L 40 50 1 1 C I
+X STAT1 14 800 200 250 L 40 50 1 1 C I
+X OUT1 15 800 400 250 L 40 50 1 1 O
+X OUT1 16 800 500 250 L 40 50 1 1 O
+X GND 17 0 -750 150 U 40 50 1 1 W
+ENDDRAW
+ENDDEF
+#
# PMGD290XN
#
DEF PMGD290XN Q 0 0 N Y 2 F N
diff --git a/contrib/kicad-libs/opendcp.mod b/contrib/kicad-libs/opendcp.mod
index d4c30ad..985b98e 100644
--- a/contrib/kicad-libs/opendcp.mod
+++ b/contrib/kicad-libs/opendcp.mod
@@ -1,6 +1,8 @@
-PCBNEW-LibModule-V1 Mo 01 Apr 2013 00:52:55 CEST
+PCBNEW-LibModule-V1 Mo 01 Apr 2013 02:34:08 CEST
# encoding utf-8
$INDEX
+Dual-USB-WE
+MSOP_17
WE-TPC
quartz5x3
$EndINDEX
@@ -77,4 +79,234 @@ Ne 0 ""
Po 728 453
$EndPAD
$EndMODULE quartz5x3
+$MODULE MSOP_17
+Po 0 0 0 15 5158CA47 00000000 ~~
+Li MSOP_17
+Sc 00000000
+AR MSOP_17
+Op 0 0 0
+T0 0 -360 600 600 0 120 N V 21 N "MSPO_17"
+T1 0 500 600 600 0 120 N V 21 N "VAL**"
+DC -620 420 -540 460 47 21
+DS 800 600 800 -600 47 21
+DS 800 -600 -800 -600 47 21
+DS -800 -600 -800 600 47 21
+DS -800 600 800 600 47 21
+$PAD
+Sh "1" R 120 350 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -689 855
+$EndPAD
+$PAD
+Sh "2" R 120 350 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -492 855
+$EndPAD
+$PAD
+Sh "3" R 120 350 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -295 855
+$EndPAD
+$PAD
+Sh "4" R 120 350 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -98 855
+$EndPAD
+$PAD
+Sh "5" R 120 350 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 98 855
+$EndPAD
+$PAD
+Sh "6" R 120 350 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 295 855
+$EndPAD
+$PAD
+Sh "7" R 120 350 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 492 855
+$EndPAD
+$PAD
+Sh "8" R 120 350 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 689 855
+$EndPAD
+$PAD
+Sh "9" R 120 350 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 689 -855
+$EndPAD
+$PAD
+Sh "10" R 120 350 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 492 -855
+$EndPAD
+$PAD
+Sh "11" R 120 350 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 295 -855
+$EndPAD
+$PAD
+Sh "12" R 120 350 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 98 -855
+$EndPAD
+$PAD
+Sh "13" R 120 350 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -98 -855
+$EndPAD
+$PAD
+Sh "14" R 120 350 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -295 -855
+$EndPAD
+$PAD
+Sh "15" R 120 350 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -492 -855
+$EndPAD
+$PAD
+Sh "16" R 120 350 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po -689 -855
+$EndPAD
+$PAD
+Sh "17" R 1120 650 0 0 0
+Dr 0 0 0
+At SMD N 00888000
+Ne 0 ""
+Po 0 0
+$EndPAD
+$EndMODULE MSOP_17
+$MODULE Dual-USB-WE
+Po 0 0 0 15 5158D5FD 00000000 ~~
+Li Dual-USB-WE
+Sc 00000000
+AR Dual-USB-WE
+Op 0 0 0
+T0 0 420 600 600 0 120 N V 21 N "Dual-USB-WE"
+T1 0 -400 600 600 0 120 N V 21 N "VAL**"
+DS -2460 3120 -2460 -2280 79 21
+DS -2460 -2280 2460 -2280 79 21
+DS 2460 -2280 2460 3120 79 21
+DS 2460 3120 -2460 3120 79 21
+$PAD
+Sh "7" C 650 650 0 0 0
+Dr 394 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -1378 1575
+$EndPAD
+$PAD
+Sh "8" C 650 650 0 0 0
+Dr 394 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -394 1575
+$EndPAD
+$PAD
+Sh "9" C 650 650 0 0 0
+Dr 394 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po 394 1575
+$EndPAD
+$PAD
+Sh "10" C 650 650 0 0 0
+Dr 394 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po 1378 1575
+$EndPAD
+$PAD
+Sh "1" C 650 650 0 0 0
+Dr 394 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -1378 -1772
+$EndPAD
+$PAD
+Sh "4" C 650 650 0 0 0
+Dr 394 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po 1378 -1772
+$EndPAD
+$PAD
+Sh "2" C 650 650 0 0 0
+Dr 394 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -394 -1772
+$EndPAD
+$PAD
+Sh "3" C 650 650 0 0 0
+Dr 394 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po 394 -1772
+$EndPAD
+$PAD
+Sh "6" C 1181 1181 0 0 0
+Dr 906 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po 2583 -913
+$EndPAD
+$PAD
+Sh "12" C 1181 1181 0 0 0
+Dr 906 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po 2583 1764
+$EndPAD
+$PAD
+Sh "5" C 1181 1181 0 0 0
+Dr 906 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -2583 -913
+$EndPAD
+$PAD
+Sh "11" C 1181 1181 0 0 0
+Dr 906 0 0
+At STD N 00E0FFFF
+Ne 0 ""
+Po -2583 1764
+$EndPAD
+$EndMODULE Dual-USB-WE
$EndLIBRARY
diff --git a/hardware/8port/opendcp8.brd b/hardware/8port/opendcp8.brd
index a6e0198..aba2565 100644
--- a/hardware/8port/opendcp8.brd
+++ b/hardware/8port/opendcp8.brd
@@ -1,4 +1,4 @@
-PCBNEW-BOARD Version 1 date Mo 01 Apr 2013 00:54:08 CEST
+PCBNEW-BOARD Version 1 date Mo 01 Apr 2013 03:07:51 CEST
# Created by Pcbnew(2012-apr-16-27)-stable
@@ -7,21 +7,21 @@ encoding utf-8
LayerCount 4
Ly 1FFF8007
EnabledLayers 1FFF8007
-Links 0
-NoConn 0
-Di 0 0 117000 82670
+Links 35
+NoConn 1
+Di 71780 40770 81751 50611
Ndraw 0
-Ntrack 0
+Ntrack 112
Nzone 0
BoardThickness 630
-Nmodule 0
-Nnets 1
+Nmodule 14
+Nnets 19
$EndGENERAL
$SHEETDESCR
Sheet A4 11700 8267
Title ""
-Date "31 mar 2013"
+Date "1 apr 2013"
Rev ""
Comp ""
Comment1 ""
@@ -37,16 +37,16 @@ Layer[0] Rückseite signal
Layer[1] 5V signal
Layer[2] GND signal
Layer[15] Vorderseite signal
-TrackWidth 80
-TrackClearence 100
-ZoneClearence 200
-TrackMinWidth 80
+TrackWidth 59
+TrackClearence 59
+ZoneClearence 59
+TrackMinWidth 59
DrawSegmWidth 150
EdgeSegmWidth 150
-ViaSize 350
-ViaDrill 250
-ViaMinSize 350
-ViaMinDrill 200
+ViaSize 256
+ViaDrill 118
+ViaMinSize 256
+ViaMinDrill 118
MicroViaSize 200
MicroViaDrill 50
MicroViasAllowed 0
@@ -54,11 +54,11 @@ MicroViaMinSize 200
MicroViaMinDrill 50
TextPcbWidth 120
TextPcbSize 600 800
-EdgeModWidth 150
+EdgeModWidth 47
TextModSize 600 600
TextModWidth 120
-PadSize 600 600
-PadDrill 320
+PadSize 650 650
+PadDrill 394
Pad2MaskClearance 100
AuxiliaryAxisOrg 0 0
PcbPlotParams (pcbplotparams (layerselection 3178497) (usegerberextensions true) (excludeedgelayer true) (linewidth 60) (plotframeref false) (viasonmask false) (mode 1) (useauxorigin false) (hpglpennumber 1) (hpglpenspeed 20) (hpglpendiameter 15) (hpglpenoverlay 0) (pscolor true) (psnegative false) (psa4output false) (plotreference true) (plotvalue true) (plotothertext true) (plotinvisibletext false) (padsonsilk false) (subtractmaskfromsilk false) (outputformat 1) (mirror false) (drillshape 1) (scaleselection 1) (outputdirectory ""))
@@ -68,19 +68,2771 @@ $EQUIPOT
Na 0 ""
St ~
$EndEQUIPOT
+$EQUIPOT
+Na 1 "+5V"
+St ~
+$EndEQUIPOT
+$EQUIPOT
+Na 2 "GND"
+St ~
+$EndEQUIPOT
+$EQUIPOT
+Na 3 "N-000001"
+St ~
+$EndEQUIPOT
+$EQUIPOT
+Na 4 "N-000002"
+St ~
+$EndEQUIPOT
+$EQUIPOT
+Na 5 "N-000004"
+St ~
+$EndEQUIPOT
+$EQUIPOT
+Na 6 "N-000005"
+St ~
+$EndEQUIPOT
+$EQUIPOT
+Na 7 "N-000006"
+St ~
+$EndEQUIPOT
+$EQUIPOT
+Na 8 "N-000007"
+St ~
+$EndEQUIPOT
+$EQUIPOT
+Na 9 "N-000008"
+St ~
+$EndEQUIPOT
+$EQUIPOT
+Na 10 "N-000009"
+St ~
+$EndEQUIPOT
+$EQUIPOT
+Na 11 "N-000010"
+St ~
+$EndEQUIPOT
+$EQUIPOT
+Na 12 "N-000011"
+St ~
+$EndEQUIPOT
+$EQUIPOT
+Na 13 "N-000012"
+St ~
+$EndEQUIPOT
+$EQUIPOT
+Na 14 "N-000013"
+St ~
+$EndEQUIPOT
+$EQUIPOT
+Na 15 "N-000014"
+St ~
+$EndEQUIPOT
+$EQUIPOT
+Na 16 "N-000015"
+St ~
+$EndEQUIPOT
+$EQUIPOT
+Na 17 "N-000016"
+St ~
+$EndEQUIPOT
+$EQUIPOT
+Na 18 "N-000017"
+St ~
+$EndEQUIPOT
$NCLASS
Name "Default"
Desc "Dies ist die voreingestellte Netzklasse."
-Clearance 100
-TrackWidth 80
-ViaDia 350
-ViaDrill 250
+Clearance 59
+TrackWidth 59
+ViaDia 256
+ViaDrill 118
uViaDia 200
uViaDrill 50
AddNet ""
+AddNet "+5V"
+AddNet "GND"
+AddNet "N-000001"
+AddNet "N-000002"
+AddNet "N-000004"
+AddNet "N-000005"
+AddNet "N-000006"
+AddNet "N-000007"
+AddNet "N-000008"
+AddNet "N-000009"
+AddNet "N-000010"
+AddNet "N-000011"
+AddNet "N-000012"
+AddNet "N-000013"
+AddNet "N-000014"
+AddNet "N-000015"
+AddNet "N-000016"
+AddNet "N-000017"
$EndNCLASS
+$MODULE SM0603
+Po 76560 42260 1800 0 4E43A3D1 5158CA83 ~~
+Li SM0603
+Sc 5158CA83
+AR /5158C482
+Op 0 0 0
+At SMD
+T0 0 0 200 180 1800 45 M V 20 N "R1"
+T1 0 0 200 180 1800 45 M I 20 N "200R"
+DS -450 250 450 250 50 20
+DS 450 250 450 -250 50 20
+DS 450 -250 -450 -250 50 20
+DS -450 -250 -450 250 50 20
+$PAD
+Sh "1" R 250 450 0 0 1800
+Dr 0 0 0
+At SMD N 00440001
+Ne 6 "N-000005"
+Po -300 0
+$EndPAD
+$PAD
+Sh "2" R 250 450 0 0 1800
+Dr 0 0 0
+At SMD N 00440001
+Ne 5 "N-000004"
+Po 300 0
+$EndPAD
+$SHAPE3D
+Na "smd\\resistors\\R0603.wrl"
+Sc 0.500000 0.500000 0.500000
+Of 0.000000 0.000000 0.001000
+Ro 0.000000 0.000000 0.000000
+$EndSHAPE3D
+$EndMODULE SM0603
+$MODULE SM0603
+Po 74010 44980 0 0 4E43A3D1 5158CA85 ~~
+Li SM0603
+Sc 5158CA85
+AR /5158C3B6
+Op 0 0 0
+At SMD
+T0 0 0 200 180 0 45 M V 20 N "R2"
+T1 0 0 200 180 0 45 M I 20 N "330R"
+DS -450 250 450 250 50 20
+DS 450 250 450 -250 50 20
+DS 450 -250 -450 -250 50 20
+DS -450 -250 -450 250 50 20
+$PAD
+Sh "1" R 250 450 0 0 0
+Dr 0 0 0
+At SMD N 00440001
+Ne 15 "N-000014"
+Po -300 0
+$EndPAD
+$PAD
+Sh "2" R 250 450 0 0 0
+Dr 0 0 0
+At SMD N 00440001
+Ne 1 "+5V"
+Po 300 0
+$EndPAD
+$SHAPE3D
+Na "smd\\resistors\\R0603.wrl"
+Sc 0.500000 0.500000 0.500000
+Of 0.000000 0.000000 0.001000
+Ro 0.000000 0.000000 0.000000
+$EndSHAPE3D
+$EndMODULE SM0603
+$MODULE SM0603
+Po 80180 43980 2700 0 4E43A3D1 5158CA87 ~~
+Li SM0603
+Sc 5158CA87
+AR /5158C58C
+Op 0 0 0
+At SMD
+T0 0 0 200 180 2700 45 M V 20 N "R3"
+T1 0 0 200 180 2700 45 M I 20 N "250R"
+DS -450 250 450 250 50 20
+DS 450 250 450 -250 50 20
+DS 450 -250 -450 -250 50 20
+DS -450 -250 -450 250 50 20
+$PAD
+Sh "1" R 250 450 0 0 2700
+Dr 0 0 0
+At SMD N 00440001
+Ne 2 "GND"
+Po -300 0
+$EndPAD
+$PAD
+Sh "2" R 250 450 0 0 2700
+Dr 0 0 0
+At SMD N 00440001
+Ne 7 "N-000006"
+Po 300 0
+$EndPAD
+$SHAPE3D
+Na "smd\\resistors\\R0603.wrl"
+Sc 0.500000 0.500000 0.500000
+Of 0.000000 0.000000 0.001000
+Ro 0.000000 0.000000 0.000000
+$EndSHAPE3D
+$EndMODULE SM0603
+$MODULE SM0603
+Po 73990 42930 0 0 4E43A3D1 5158CA89 ~~
+Li SM0603
+Sc 5158CA89
+AR /5158C3C8
+Op 0 0 0
+At SMD
+T0 0 0 200 180 0 45 M V 20 N "R4"
+T1 0 0 200 180 0 45 M I 20 N "330R"
+DS -450 250 450 250 50 20
+DS 450 250 450 -250 50 20
+DS 450 -250 -450 -250 50 20
+DS -450 -250 -450 250 50 20
+$PAD
+Sh "1" R 250 450 0 0 0
+Dr 0 0 0
+At SMD N 00440001
+Ne 14 "N-000013"
+Po -300 0
+$EndPAD
+$PAD
+Sh "2" R 250 450 0 0 0
+Dr 0 0 0
+At SMD N 00440001
+Ne 1 "+5V"
+Po 300 0
+$EndPAD
+$SHAPE3D
+Na "smd\\resistors\\R0603.wrl"
+Sc 0.500000 0.500000 0.500000
+Of 0.000000 0.000000 0.001000
+Ro 0.000000 0.000000 0.000000
+$EndSHAPE3D
+$EndMODULE SM0603
+$MODULE SM0603
+Po 80190 46600 900 0 4E43A3D1 5158CA8B ~~
+Li SM0603
+Sc 5158CA8B
+AR /5158C59D
+Op 0 0 0
+At SMD
+T0 0 0 200 180 900 45 M V 20 N "R5"
+T1 0 0 200 180 900 45 M I 20 N "250R"
+DS -450 250 450 250 50 20
+DS 450 250 450 -250 50 20
+DS 450 -250 -450 -250 50 20
+DS -450 -250 -450 250 50 20
+$PAD
+Sh "1" R 250 450 0 0 900
+Dr 0 0 0
+At SMD N 00440001
+Ne 2 "GND"
+Po -300 0
+$EndPAD
+$PAD
+Sh "2" R 250 450 0 0 900
+Dr 0 0 0
+At SMD N 00440001
+Ne 8 "N-000007"
+Po 300 0
+$EndPAD
+$SHAPE3D
+Na "smd\\resistors\\R0603.wrl"
+Sc 0.500000 0.500000 0.500000
+Of 0.000000 0.000000 0.001000
+Ro 0.000000 0.000000 0.000000
+$EndSHAPE3D
+$EndMODULE SM0603
+$MODULE SM0603
+Po 74010 45580 0 0 4E43A3D1 5158CA8D ~~
+Li SM0603
+Sc 5158CA8D
+AR /5158C3CA
+Op 0 0 0
+At SMD
+T0 0 0 200 180 0 45 M V 20 N "R6"
+T1 0 0 200 180 0 45 M I 20 N "330R"
+DS -450 250 450 250 50 20
+DS 450 250 450 -250 50 20
+DS 450 -250 -450 -250 50 20
+DS -450 -250 -450 250 50 20
+$PAD
+Sh "1" R 250 450 0 0 0
+Dr 0 0 0
+At SMD N 00440001
+Ne 16 "N-000015"
+Po -300 0
+$EndPAD
+$PAD
+Sh "2" R 250 450 0 0 0
+Dr 0 0 0
+At SMD N 00440001
+Ne 1 "+5V"
+Po 300 0
+$EndPAD
+$SHAPE3D
+Na "smd\\resistors\\R0603.wrl"
+Sc 0.500000 0.500000 0.500000
+Of 0.000000 0.000000 0.001000
+Ro 0.000000 0.000000 0.000000
+$EndSHAPE3D
+$EndMODULE SM0603
+$MODULE SM0603
+Po 74010 47560 0 0 4E43A3D1 5158CA8F ~~
+Li SM0603
+Sc 5158CA8F
+AR /5158C3CC
+Op 0 0 0
+At SMD
+T0 0 0 200 180 0 45 M V 20 N "R7"
+T1 0 0 200 180 0 45 M I 20 N "330R"
+DS -450 250 450 250 50 20
+DS 450 250 450 -250 50 20
+DS 450 -250 -450 -250 50 20
+DS -450 -250 -450 250 50 20
+$PAD
+Sh "1" R 250 450 0 0 0
+Dr 0 0 0
+At SMD N 00440001
+Ne 18 "N-000017"
+Po -300 0
+$EndPAD
+$PAD
+Sh "2" R 250 450 0 0 0
+Dr 0 0 0
+At SMD N 00440001
+Ne 1 "+5V"
+Po 300 0
+$EndPAD
+$SHAPE3D
+Na "smd\\resistors\\R0603.wrl"
+Sc 0.500000 0.500000 0.500000
+Of 0.000000 0.000000 0.001000
+Ro 0.000000 0.000000 0.000000
+$EndSHAPE3D
+$EndMODULE SM0603
+$MODULE SM0603
+Po 76570 47120 1800 0 4E43A3D1 5158CA91 ~~
+Li SM0603
+Sc 5158CA91
+AR /5158C465
+Op 0 0 0
+At SMD
+T0 0 0 200 180 1800 45 M V 20 N "R8"
+T1 0 0 200 180 1800 45 M I 20 N "200R"
+DS -450 250 450 250 50 20
+DS 450 250 450 -250 50 20
+DS 450 -250 -450 -250 50 20
+DS -450 -250 -450 250 50 20
+$PAD
+Sh "1" R 250 450 0 0 1800
+Dr 0 0 0
+At SMD N 00440001
+Ne 3 "N-000001"
+Po -300 0
+$EndPAD
+$PAD
+Sh "2" R 250 450 0 0 1800
+Dr 0 0 0
+At SMD N 00440001
+Ne 4 "N-000002"
+Po 300 0
+$EndPAD
+$SHAPE3D
+Na "smd\\resistors\\R0603.wrl"
+Sc 0.500000 0.500000 0.500000
+Of 0.000000 0.000000 0.001000
+Ro 0.000000 0.000000 0.000000
+$EndSHAPE3D
+$EndMODULE SM0603
+$MODULE MSOP_17
+Po 76820 44820 2700 0 5158CA47 5158CA92 ~~
+Li MSOP_17
+Sc 5158CA92
+AR /5158C210
+Op 0 0 0
+T0 0 360 600 600 2700 120 M V 20 N "U1"
+T1 0 -500 600 600 2700 120 M V 20 N "LTC4415"
+DC -620 -420 -540 -460 47 20
+DS 800 -600 800 600 47 20
+DS 800 600 -800 600 47 20
+DS -800 600 -800 -600 47 20
+DS -800 -600 800 -600 47 20
+$PAD
+Sh "1" R 120 350 0 0 2700
+Dr 0 0 0
+At SMD N 00440001
+Ne 1 "+5V"
+Po -689 -855
+$EndPAD
+$PAD
+Sh "2" R 120 350 0 0 2700
+Dr 0 0 0
+At SMD N 00440001
+Ne 1 "+5V"
+Po -492 -855
+$EndPAD
+$PAD
+Sh "3" R 120 350 0 0 2700
+Dr 0 0 0
+At SMD N 00440001
+Ne 1 "+5V"
+Po -295 -855
+$EndPAD
+$PAD
+Sh "4" R 120 350 0 0 2700
+Dr 0 0 0
+At SMD N 00440001
+Ne 7 "N-000006"
+Po -98 -855
+$EndPAD
+$PAD
+Sh "5" R 120 350 0 0 2700
+Dr 0 0 0
+At SMD N 00440001
+Ne 8 "N-000007"
+Po 98 -855
+$EndPAD
+$PAD
+Sh "6" R 120 350 0 0 2700
+Dr 0 0 0
+At SMD N 00440001
+Ne 2 "GND"
+Po 295 -855
+$EndPAD
+$PAD
+Sh "7" R 120 350 0 0 2700
+Dr 0 0 0
+At SMD N 00440001
+Ne 1 "+5V"
+Po 492 -855
+$EndPAD
+$PAD
+Sh "8" R 120 350 0 0 2700
+Dr 0 0 0
+At SMD N 00440001
+Ne 1 "+5V"
+Po 689 -855
+$EndPAD
+$PAD
+Sh "9" R 120 350 0 0 2700
+Dr 0 0 0
+At SMD N 00440001
+Ne 9 "N-000008"
+Po 689 855
+$EndPAD
+$PAD
+Sh "10" R 120 350 0 0 2700
+Dr 0 0 0
+At SMD N 00440001
+Ne 9 "N-000008"
+Po 492 855
+$EndPAD
+$PAD
+Sh "11" R 120 350 0 0 2700
+Dr 0 0 0
+At SMD N 00440001
+Ne 10 "N-000009"
+Po 295 855
+$EndPAD
+$PAD
+Sh "12" R 120 350 0 0 2700
+Dr 0 0 0
+At SMD N 00440001
+Ne 17 "N-000016"
+Po 98 855
+$EndPAD
+$PAD
+Sh "13" R 120 350 0 0 2700
+Dr 0 0 0
+At SMD N 00440001
+Ne 13 "N-000012"
+Po -98 855
+$EndPAD
+$PAD
+Sh "14" R 120 350 0 0 2700
+Dr 0 0 0
+At SMD N 00440001
+Ne 11 "N-000010"
+Po -295 855
+$EndPAD
+$PAD
+Sh "15" R 120 350 0 0 2700
+Dr 0 0 0
+At SMD N 00440001
+Ne 12 "N-000011"
+Po -492 855
+$EndPAD
+$PAD
+Sh "16" R 120 350 0 0 2700
+Dr 0 0 0
+At SMD N 00440001
+Ne 12 "N-000011"
+Po -689 855
+$EndPAD
+$PAD
+Sh "17" R 1120 650 0 0 2700
+Dr 0 0 0
+At SMD N 00440001
+Ne 2 "GND"
+Po 0 0
+$EndPAD
+$EndMODULE MSOP_17
+$MODULE LED-0805
+Po 72680 44070 2700 0 49DC4C0B 5158CA93 ~~
+Li LED-0805
+Cd LED 0805 smd package
+Kw LED 0805 SMD
+Sc 5158CA93
+AR /5158C307
+Op 0 0 0
+At SMD
+T0 0 500 300 300 2700 50 M V 20 N "D1"
+T1 0 -500 300 300 2700 50 M V 20 N "RED01"
+DS 196 -118 196 -246 26 20
+DS 196 -246 393 -246 26 20
+DS 393 -118 393 -246 26 20
+DS 196 -118 393 -118 26 20
+DS 196 127 196 68 26 20
+DS 196 68 295 68 26 20
+DS 295 127 295 68 26 20
+DS 196 127 295 127 26 20
+DS 196 -68 196 -127 26 20
+DS 196 -127 295 -127 26 20
+DS 295 -68 295 -127 26 20
+DS 196 -68 295 -68 26 20
+DS 196 78 196 -78 26 20
+DS 196 -78 265 -78 26 20
+DS 265 78 265 -78 26 20
+DS 196 78 265 78 26 20
+DS -393 -118 -393 -246 26 20
+DS -393 -246 -196 -246 26 20
+DS -196 -118 -196 -246 26 20
+DS -393 -118 -196 -118 26 20
+DS -393 246 -393 118 26 20
+DS -393 118 -196 118 26 20
+DS -196 246 -196 118 26 20
+DS -393 246 -196 246 26 20
+DS -295 -68 -295 -127 26 20
+DS -295 -127 -196 -127 26 20
+DS -196 -68 -196 -127 26 20
+DS -295 -68 -196 -68 26 20
+DS -295 127 -295 68 26 20
+DS -295 68 -196 68 26 20
+DS -196 127 -196 68 26 20
+DS -295 127 -196 127 26 20
+DS -265 78 -265 -78 26 20
+DS -265 -78 -196 -78 26 20
+DS -196 78 -196 -78 26 20
+DS -265 78 -196 78 26 20
+DS 0 39 0 -39 26 20
+DS 0 -39 78 -39 26 20
+DS 78 39 78 -39 26 20
+DS 0 39 78 39 26 20
+DS 196 236 196 118 26 20
+DS 196 118 314 118 26 20
+DS 314 236 314 118 26 20
+DS 196 236 314 236 26 20
+DS 364 246 364 157 26 20
+DS 364 157 393 157 26 20
+DS 393 246 393 157 26 20
+DS 364 246 393 246 26 20
+DS 206 -226 -206 -226 40 20
+DS -196 226 364 226 40 20
+DC 334 177 354 197 20 20
+DA 393 0 393 -137 -1800 40 20
+DA -393 0 -393 137 -1800 40 20
+$PAD
+Sh "1" R 472 472 0 0 2700
+Dr 0 0 0
+At SMD N 00440001
+Ne 15 "N-000014"
+Po -413 0
+$EndPAD
+$PAD
+Sh "2" R 472 472 0 0 2700
+Dr 0 0 0
+At SMD N 00440001
+Ne 11 "N-000010"
+Po 413 0
+$EndPAD
+$EndMODULE LED-0805
+$MODULE LED-0805
+Po 72670 42540 2700 0 49DC4C0B 5158CA95 ~~
+Li LED-0805
+Cd LED 0805 smd package
+Kw LED 0805 SMD
+Sc 5158CA95
+AR /5158C308
+Op 0 0 0
+At SMD
+T0 0 500 300 300 2700 50 M V 20 N "D2"
+T1 0 -500 300 300 2700 50 M V 20 N "GREEN01"
+DS 196 -118 196 -246 26 20
+DS 196 -246 393 -246 26 20
+DS 393 -118 393 -246 26 20
+DS 196 -118 393 -118 26 20
+DS 196 127 196 68 26 20
+DS 196 68 295 68 26 20
+DS 295 127 295 68 26 20
+DS 196 127 295 127 26 20
+DS 196 -68 196 -127 26 20
+DS 196 -127 295 -127 26 20
+DS 295 -68 295 -127 26 20
+DS 196 -68 295 -68 26 20
+DS 196 78 196 -78 26 20
+DS 196 -78 265 -78 26 20
+DS 265 78 265 -78 26 20
+DS 196 78 265 78 26 20
+DS -393 -118 -393 -246 26 20
+DS -393 -246 -196 -246 26 20
+DS -196 -118 -196 -246 26 20
+DS -393 -118 -196 -118 26 20
+DS -393 246 -393 118 26 20
+DS -393 118 -196 118 26 20
+DS -196 246 -196 118 26 20
+DS -393 246 -196 246 26 20
+DS -295 -68 -295 -127 26 20
+DS -295 -127 -196 -127 26 20
+DS -196 -68 -196 -127 26 20
+DS -295 -68 -196 -68 26 20
+DS -295 127 -295 68 26 20
+DS -295 68 -196 68 26 20
+DS -196 127 -196 68 26 20
+DS -295 127 -196 127 26 20
+DS -265 78 -265 -78 26 20
+DS -265 -78 -196 -78 26 20
+DS -196 78 -196 -78 26 20
+DS -265 78 -196 78 26 20
+DS 0 39 0 -39 26 20
+DS 0 -39 78 -39 26 20
+DS 78 39 78 -39 26 20
+DS 0 39 78 39 26 20
+DS 196 236 196 118 26 20
+DS 196 118 314 118 26 20
+DS 314 236 314 118 26 20
+DS 196 236 314 236 26 20
+DS 364 246 364 157 26 20
+DS 364 157 393 157 26 20
+DS 393 246 393 157 26 20
+DS 364 246 393 246 26 20
+DS 206 -226 -206 -226 40 20
+DS -196 226 364 226 40 20
+DC 334 177 354 197 20 20
+DA 393 0 393 -137 -1800 40 20
+DA -393 0 -393 137 -1800 40 20
+$PAD
+Sh "1" R 472 472 0 0 2700
+Dr 0 0 0
+At SMD N 00440001
+Ne 14 "N-000013"
+Po -413 0
+$EndPAD
+$PAD
+Sh "2" R 472 472 0 0 2700
+Dr 0 0 0
+At SMD N 00440001
+Ne 13 "N-000012"
+Po 413 0
+$EndPAD
+$EndMODULE LED-0805
+$MODULE LED-0805
+Po 72640 46860 900 0 49DC4C0B 5158CA97 ~~
+Li LED-0805
+Cd LED 0805 smd package
+Kw LED 0805 SMD
+Sc 5158CA97
+AR /5158C289
+Op 0 0 0
+At SMD
+T0 0 500 300 300 900 50 M V 20 N "D3"
+T1 0 -500 300 300 900 50 M V 20 N "RED02"
+DS 196 -118 196 -246 26 20
+DS 196 -246 393 -246 26 20
+DS 393 -118 393 -246 26 20
+DS 196 -118 393 -118 26 20
+DS 196 127 196 68 26 20
+DS 196 68 295 68 26 20
+DS 295 127 295 68 26 20
+DS 196 127 295 127 26 20
+DS 196 -68 196 -127 26 20
+DS 196 -127 295 -127 26 20
+DS 295 -68 295 -127 26 20
+DS 196 -68 295 -68 26 20
+DS 196 78 196 -78 26 20
+DS 196 -78 265 -78 26 20
+DS 265 78 265 -78 26 20
+DS 196 78 265 78 26 20
+DS -393 -118 -393 -246 26 20
+DS -393 -246 -196 -246 26 20
+DS -196 -118 -196 -246 26 20
+DS -393 -118 -196 -118 26 20
+DS -393 246 -393 118 26 20
+DS -393 118 -196 118 26 20
+DS -196 246 -196 118 26 20
+DS -393 246 -196 246 26 20
+DS -295 -68 -295 -127 26 20
+DS -295 -127 -196 -127 26 20
+DS -196 -68 -196 -127 26 20
+DS -295 -68 -196 -68 26 20
+DS -295 127 -295 68 26 20
+DS -295 68 -196 68 26 20
+DS -196 127 -196 68 26 20
+DS -295 127 -196 127 26 20
+DS -265 78 -265 -78 26 20
+DS -265 -78 -196 -78 26 20
+DS -196 78 -196 -78 26 20
+DS -265 78 -196 78 26 20
+DS 0 39 0 -39 26 20
+DS 0 -39 78 -39 26 20
+DS 78 39 78 -39 26 20
+DS 0 39 78 39 26 20
+DS 196 236 196 118 26 20
+DS 196 118 314 118 26 20
+DS 314 236 314 118 26 20
+DS 196 236 314 236 26 20
+DS 364 246 364 157 26 20
+DS 364 157 393 157 26 20
+DS 393 246 393 157 26 20
+DS 364 246 393 246 26 20
+DS 206 -226 -206 -226 40 20
+DS -196 226 364 226 40 20
+DC 334 177 354 197 20 20
+DA 393 0 393 -137 -1800 40 20
+DA -393 0 -393 137 -1800 40 20
+$PAD
+Sh "1" R 472 472 0 0 900
+Dr 0 0 0
+At SMD N 00440001
+Ne 16 "N-000015"
+Po -413 0
+$EndPAD
+$PAD
+Sh "2" R 472 472 0 0 900
+Dr 0 0 0
+At SMD N 00440001
+Ne 17 "N-000016"
+Po 413 0
+$EndPAD
+$EndMODULE LED-0805
+$MODULE LED-0805
+Po 72640 48440 2700 0 49DC4C0B 5158CA99 ~~
+Li LED-0805
+Cd LED 0805 smd package
+Kw LED 0805 SMD
+Sc 5158CA99
+AR /5158C281
+Op 0 0 0
+At SMD
+T0 0 500 300 300 2700 50 M V 20 N "D4"
+T1 0 -500 300 300 2700 50 M V 20 N "GREEN02"
+DS 196 -118 196 -246 26 20
+DS 196 -246 393 -246 26 20
+DS 393 -118 393 -246 26 20
+DS 196 -118 393 -118 26 20
+DS 196 127 196 68 26 20
+DS 196 68 295 68 26 20
+DS 295 127 295 68 26 20
+DS 196 127 295 127 26 20
+DS 196 -68 196 -127 26 20
+DS 196 -127 295 -127 26 20
+DS 295 -68 295 -127 26 20
+DS 196 -68 295 -68 26 20
+DS 196 78 196 -78 26 20
+DS 196 -78 265 -78 26 20
+DS 265 78 265 -78 26 20
+DS 196 78 265 78 26 20
+DS -393 -118 -393 -246 26 20
+DS -393 -246 -196 -246 26 20
+DS -196 -118 -196 -246 26 20
+DS -393 -118 -196 -118 26 20
+DS -393 246 -393 118 26 20
+DS -393 118 -196 118 26 20
+DS -196 246 -196 118 26 20
+DS -393 246 -196 246 26 20
+DS -295 -68 -295 -127 26 20
+DS -295 -127 -196 -127 26 20
+DS -196 -68 -196 -127 26 20
+DS -295 -68 -196 -68 26 20
+DS -295 127 -295 68 26 20
+DS -295 68 -196 68 26 20
+DS -196 127 -196 68 26 20
+DS -295 127 -196 127 26 20
+DS -265 78 -265 -78 26 20
+DS -265 -78 -196 -78 26 20
+DS -196 78 -196 -78 26 20
+DS -265 78 -196 78 26 20
+DS 0 39 0 -39 26 20
+DS 0 -39 78 -39 26 20
+DS 78 39 78 -39 26 20
+DS 0 39 78 39 26 20
+DS 196 236 196 118 26 20
+DS 196 118 314 118 26 20
+DS 314 236 314 118 26 20
+DS 196 236 314 236 26 20
+DS 364 246 364 157 26 20
+DS 364 157 393 157 26 20
+DS 393 246 393 157 26 20
+DS 364 246 393 246 26 20
+DS 206 -226 -206 -226 40 20
+DS -196 226 364 226 40 20
+DC 334 177 354 197 20 20
+DA 393 0 393 -137 -1800 40 20
+DA -393 0 -393 137 -1800 40 20
+$PAD
+Sh "1" R 472 472 0 0 2700
+Dr 0 0 0
+At SMD N 00440001
+Ne 18 "N-000017"
+Po -413 0
+$EndPAD
+$PAD
+Sh "2" R 472 472 0 0 2700
+Dr 0 0 0
+At SMD N 00440001
+Ne 10 "N-000009"
+Po 413 0
+$EndPAD
+$EndMODULE LED-0805
+$MODULE Dual-USB-WE
+Po 76580 44820 0 15 5158D5FD 5158CA80 ~~
+Li Dual-USB-WE
+Sc 5158CA80
+AR /5158CEF2
+Op 0 0 0
+T0 0 420 600 600 0 120 N V 21 N "J1"
+T1 0 -400 600 600 0 120 N V 21 N "Dual-USB"
+DS -2460 3120 -2460 -2280 79 21
+DS -2460 -2280 2460 -2280 79 21
+DS 2460 -2280 2460 3120 79 21
+DS 2460 3120 -2460 3120 79 21
+$PAD
+Sh "7" C 650 650 0 0 0
+Dr 394 0 0
+At STD N 00E0FFFF
+Ne 9 "N-000008"
+Po -1378 1575
+$EndPAD
+$PAD
+Sh "8" C 650 650 0 0 0
+Dr 394 0 0
+At STD N 00E0FFFF
+Ne 4 "N-000002"
+Po -394 1575
+$EndPAD
+$PAD
+Sh "9" C 650 650 0 0 0
+Dr 394 0 0
+At STD N 00E0FFFF
+Ne 3 "N-000001"
+Po 394 1575
+$EndPAD
+$PAD
+Sh "10" C 650 650 0 0 0
+Dr 394 0 0
+At STD N 00E0FFFF
+Ne 2 "GND"
+Po 1378 1575
+$EndPAD
+$PAD
+Sh "1" C 650 650 0 0 0
+Dr 394 0 0
+At STD N 00E0FFFF
+Ne 12 "N-000011"
+Po -1378 -1772
+$EndPAD
+$PAD
+Sh "4" C 650 650 0 0 0
+Dr 394 0 0
+At STD N 00E0FFFF
+Ne 2 "GND"
+Po 1378 -1772
+$EndPAD
+$PAD
+Sh "2" C 650 650 0 0 0
+Dr 394 0 0
+At STD N 00E0FFFF
+Ne 5 "N-000004"
+Po -394 -1772
+$EndPAD
+$PAD
+Sh "3" C 650 650 0 0 0
+Dr 394 0 0
+At STD N 00E0FFFF
+Ne 6 "N-000005"
+Po 394 -1772
+$EndPAD
+$PAD
+Sh "6" C 1181 1181 0 0 0
+Dr 906 0 0
+At STD N 00E0FFFF
+Ne 2 "GND"
+Po 2583 -913
+$EndPAD
+$PAD
+Sh "12" C 1181 1181 0 0 0
+Dr 906 0 0
+At STD N 00E0FFFF
+Ne 2 "GND"
+Po 2583 1764
+$EndPAD
+$PAD
+Sh "5" C 1181 1181 0 0 0
+Dr 906 0 0
+At STD N 00E0FFFF
+Ne 2 "GND"
+Po -2583 -913
+$EndPAD
+$PAD
+Sh "11" C 1181 1181 0 0 0
+Dr 906 0 0
+At STD N 00E0FFFF
+Ne 2 "GND"
+Po -2583 1764
+$EndPAD
+$EndMODULE Dual-USB-WE
$TRACK
+Po 0 81280 43690 81280 44670 59 -1
+De 1 0 1 0 0
+Po 0 81140 44810 81280 44670 59 -1
+De 1 0 1 0 0
+Po 3 81280 44670 81280 44670 256 -1
+De 15 1 1 0 0
+Po 0 80070 45530 81100 45530 59 -1
+De 0 0 1 0 0
+Po 0 80020 45580 80070 45530 59 -1
+De 1 0 1 0 0
+Po 3 80070 45530 80070 45530 256 -1
+De 15 1 1 0 0
+Po 0 78070 45500 78700 45500 59 -1
+De 0 0 1 0 0
+Po 3 78070 45500 78070 45500 256 -1
+De 15 1 1 0 0
+Po 0 78061 45509 77675 45509 59 -1
+De 0 0 1 0 800000
+Po 0 78061 45509 78070 45500 59 -1
+De 0 0 1 0 0
+Po 3 78780 45580 78780 45580 256 -1
+De 15 1 1 0 0
+Po 0 78700 45500 78780 45580 59 -1
+De 0 0 1 0 0
+Po 0 78780 45580 80020 45580 59 -1
+De 1 0 1 0 0
+Po 3 81140 45490 81140 45490 256 -1
+De 15 1 1 0 0
+Po 0 81100 45530 81140 45490 59 -1
+De 0 0 1 0 0
+Po 0 81140 45490 81140 44810 59 -1
+De 1 0 1 0 0
+Po 3 78000 45810 78000 45810 256 -1
+De 15 1 1 0 0
+Po 0 78020 43830 78820 43030 59 -1
+De 0 0 1 0 0
+Po 0 77675 45509 77675 45775 59 -1
+De 0 0 1 0 400000
+Po 3 77670 45780 77670 45780 256 -1
+De 15 1 1 0 0
+Po 0 77675 45775 77670 45780 59 -1
+De 0 0 1 0 0
+Po 0 74310 45580 74310 44980 59 -1
+De 0 0 1 0 C00000
+Po 0 77699 45509 78000 45810 59 -1
+De 0 0 1 0 0
+Po 3 78110 45180 78110 45180 256 -1
+De 15 1 1 0 0
+Po 0 77978 45312 78110 45180 59 -1
+De 0 0 1 0 0
+Po 3 78100 44450 78100 44450 256 -1
+De 15 1 1 0 0
+Po 0 77675 45509 77699 45509 59 -1
+De 0 0 1 0 400000
+Po 0 77675 45312 77675 45509 59 -1
+De 0 0 1 0 C00000
+Po 0 78025 44525 78100 44450 59 -1
+De 0 0 1 0 0
+Po 0 77675 44131 77675 43865 59 -1
+De 0 0 1 0 400000
+Po 0 78820 43030 78820 42840 59 -1
+De 0 0 1 0 0
+Po 3 78820 42840 78820 42840 256 -1
+De 15 1 1 0 0
+Po 0 77699 44131 78000 43830 59 -1
+De 0 0 1 0 0
+Po 3 78000 43830 78000 43830 256 -1
+De 15 1 1 0 0
+Po 0 77675 44131 77699 44131 59 -1
+De 0 0 1 0 400000
+Po 0 78000 43830 78020 43830 59 -1
+De 0 0 1 0 0
+Po 0 77675 44525 78025 44525 59 -1
+De 0 0 1 0 400000
+Po 0 77675 45312 77978 45312 59 -1
+De 0 0 1 0 400000
+Po 0 77675 44131 78119 44131 59 -1
+De 0 0 1 0 400000
+Po 0 77675 44525 77675 44328 59 -1
+De 0 0 1 0 C00000
+Po 3 78120 44130 78120 44130 256 -1
+De 15 1 1 0 0
+Po 0 77675 44328 77675 44131 59 -1
+De 0 0 1 0 C00000
+Po 0 77675 43865 77680 43860 59 -1
+De 0 0 1 0 0
+Po 3 77680 43860 77680 43860 256 -1
+De 15 1 1 0 0
+Po 0 78119 44131 78120 44130 59 -1
+De 0 0 1 0 0
+Po 0 77675 45115 77115 45115 59 -1
+De 0 0 2 0 400000
+Po 0 76820 45430 76820 44820 59 -1
+De 0 0 2 0 800000
+Po 0 80190 46900 79479 46900 59 -1
+De 0 0 2 0 400000
+Po 0 79390 43680 79163 43907 59 -1
+De 0 0 2 0 800000
+Po 0 77785 46395 76820 45430 59 -1
+De 0 0 2 0 0
+Po 0 79479 46900 79163 46584 59 -1
+De 0 0 2 0 800000
+Po 0 80180 43680 79390 43680 59 -1
+De 0 0 2 0 400000
+Po 0 77952 43048 76820 44180 59 -1
+De 0 0 2 0 0
+Po 0 76820 44180 76820 44820 59 -1
+De 0 0 2 0 800000
+Po 0 77115 45115 76820 44820 59 -1
+De 0 0 2 0 800000
+Po 0 77958 43048 77952 43048 59 -1
+De 0 0 2 0 400000
+Po 0 77958 46395 77785 46395 59 -1
+De 0 0 2 0 400000
+Po 0 76870 47120 76870 46499 59 -1
+De 0 0 3 0 400000
+Po 0 76870 46499 76974 46395 59 -1
+De 0 0 3 0 800000
+Po 0 76186 47036 76270 47120 59 -1
+De 0 0 4 0 800000
+Po 0 76186 46395 76186 47036 59 -1
+De 0 0 4 0 400000
+Po 0 76186 42334 76260 42260 59 -1
+De 0 0 5 0 800000
+Po 0 76186 43048 76186 42334 59 -1
+De 0 0 5 0 400000
+Po 0 76974 43048 76974 42374 59 -1
+De 0 0 6 0 400000
+Po 0 76974 42374 76860 42260 59 -1
+De 0 0 6 0 800000
+Po 0 77675 44722 79738 44722 59 -1
+De 0 0 7 0 400000
+Po 0 79738 44722 80180 44280 59 -1
+De 0 0 7 0 800000
+Po 0 77675 44918 78808 44918 59 -1
+De 0 0 8 0 400000
+Po 0 78808 44918 80190 46300 59 -1
+De 0 0 8 0 800000
+Po 0 75965 45509 75965 45312 59 -1
+De 0 0 9 0 C00000
+Po 0 75965 45509 75965 45632 59 -1
+De 0 0 9 0 400000
+Po 0 75965 45632 75202 46395 59 -1
+De 0 0 9 0 800000
+Po 0 74710 47970 74710 45880 59 -1
+De 0 0 10 0 0
+Po 0 73827 48853 74710 47970 59 -1
+De 0 0 10 0 0
+Po 0 74710 45880 75475 45115 59 -1
+De 0 0 10 0 0
+Po 0 75475 45115 75965 45115 59 -1
+De 0 0 10 0 800000
+Po 0 72640 48853 73827 48853 59 -1
+De 0 0 10 0 400000
+Po 0 72680 44483 73413 44483 59 -1
+De 0 0 11 0 400000
+Po 0 75275 44525 75965 44525 59 -1
+De 0 0 11 0 800000
+Po 0 75180 44620 75275 44525 59 -1
+De 0 0 11 0 0
+Po 0 73550 44620 75180 44620 59 -1
+De 0 0 11 0 0
+Po 0 73413 44483 73550 44620 59 -1
+De 0 0 11 0 0
+Po 0 75965 44328 75965 44131 59 -1
+De 0 0 12 0 C00000
+Po 0 75965 43811 75202 43048 59 -1
+De 0 0 12 0 800000
+Po 0 75965 44131 75965 43811 59 -1
+De 0 0 12 0 400000
+Po 3 73250 43030 73250 43030 256 -1
+De 15 1 13 0 0
+Po 3 74970 45000 74970 45000 256 -1
+De 15 1 13 0 0
+Po 0 75965 44722 75248 44722 59 -1
+De 0 0 13 0 400000
+Po 0 74970 45000 74770 44800 59 -1
+De 1 0 13 0 0
+Po 0 74770 43340 74460 43030 59 -1
+De 1 0 13 0 0
+Po 0 75248 44722 74970 45000 59 -1
+De 0 0 13 0 0
+Po 0 73250 43030 73173 42953 59 -1
+De 0 0 13 0 0
+Po 0 74770 44800 74770 43340 59 -1
+De 1 0 13 0 0
+Po 0 73173 42953 72670 42953 59 -1
+De 0 0 13 0 800000
+Po 0 74460 43030 73250 43030 59 -1
+De 1 0 13 0 0
+Po 0 72670 42127 72887 42127 59 -1
+De 0 0 14 0 400000
+Po 0 72887 42127 73690 42930 59 -1
+De 0 0 14 0 800000
+Po 0 73710 44980 72450 44980 59 -1
+De 0 0 15 0 400000
+Po 0 72230 44107 72680 43657 59 -1
+De 0 0 15 0 800000
+Po 0 72230 44760 72230 44107 59 -1
+De 0 0 15 0 0
+Po 0 72450 44980 72230 44760 59 -1
+De 0 0 15 0 0
+Po 0 73710 45580 72700 45580 59 -1
+De 0 0 16 0 400000
+Po 0 72700 45580 72260 46020 59 -1
+De 0 0 16 0 0
+Po 0 72260 46020 72260 46893 59 -1
+De 0 0 16 0 0
+Po 0 72260 46893 72640 47273 59 -1
+De 0 0 16 0 800000
+Po 0 72640 46447 72973 46447 59 -1
+De 0 0 17 0 400000
+Po 0 75452 44918 75965 44918 59 -1
+De 0 0 17 0 800000
+Po 0 74470 45900 75452 44918 59 -1
+De 0 0 17 0 0
+Po 0 73520 45900 74470 45900 59 -1
+De 0 0 17 0 0
+Po 0 72973 46447 73520 45900 59 -1
+De 0 0 17 0 0
+Po 0 73710 47560 73107 47560 59 -1
+De 0 0 18 0 400000
+Po 0 73107 47560 72640 48027 59 -1
+De 0 0 18 0 800000
$EndTRACK
$ZONE
$EndZONE
+$CZONE_OUTLINE
+ZInfo 5158D7C1 2 "GND"
+ZLayer 15
+ZAux 4 E
+ZClearance 59 I
+ZMinThickness 59
+ZOptions 0 16 F 200 200
+ZSmoothing 0 0
+ZCorner 81730 50600 0
+ZCorner 81730 40790 0
+ZCorner 71850 40790 0
+ZCorner 71790 50600 1
+$POLYSCORNERS
+81701 50571 0 0
+78336 50571 0 0
+78336 44173 0 0
+78336 44087 0 0
+78303 44008 0 0
+78242 43947 0 0
+78193 43926 0 0
+78216 43873 0 0
+78216 43787 0 0
+78183 43708 0 0
+78122 43647 0 0
+78043 43614 0 0
+77957 43614 0 0
+77878 43647 0 0
+77825 43700 0 0
+77802 43677 0 0
+77723 43644 0 0
+77637 43644 0 0
+77558 43677 0 0
+77497 43738 0 0
+77464 43817 0 0
+77464 43903 0 0
+77497 43982 0 0
+77558 44043 0 0
+77637 44076 0 0
+77723 44076 0 0
+77802 44043 0 0
+77855 43990 0 0
+77878 44013 0 0
+77926 44033 0 0
+77904 44087 0 0
+77904 44173 0 0
+77937 44252 0 0
+77965 44280 0 0
+77917 44328 0 0
+77884 44407 0 0
+77884 44493 0 0
+77917 44572 0 0
+77978 44633 0 0
+78057 44666 0 0
+78143 44666 0 0
+78222 44633 0 0
+78283 44572 0 0
+78316 44493 0 0
+78316 44407 0 0
+78283 44328 0 0
+78255 44300 0 0
+78303 44252 0 0
+78336 44173 0 0
+78336 50571 0 0
+78326 50571 0 0
+78326 45223 0 0
+78326 45137 0 0
+78293 45058 0 0
+78232 44997 0 0
+78153 44964 0 0
+78067 44964 0 0
+77988 44997 0 0
+77927 45058 0 0
+77894 45137 0 0
+77894 45223 0 0
+77927 45302 0 0
+77945 45320 0 0
+77887 45378 0 0
+77854 45457 0 0
+77854 45543 0 0
+77887 45622 0 0
+77878 45627 0 0
+77850 45655 0 0
+77792 45597 0 0
+77713 45564 0 0
+77627 45564 0 0
+77548 45597 0 0
+77487 45658 0 0
+77454 45737 0 0
+77454 45823 0 0
+77487 45902 0 0
+77548 45963 0 0
+77627 45996 0 0
+77713 45996 0 0
+77792 45963 0 0
+77820 45935 0 0
+77878 45993 0 0
+77957 46026 0 0
+78043 46026 0 0
+78122 45993 0 0
+78183 45932 0 0
+78216 45853 0 0
+78216 45767 0 0
+78183 45688 0 0
+78182 45687 0 0
+78192 45683 0 0
+78253 45622 0 0
+78286 45543 0 0
+78286 45457 0 0
+78253 45378 0 0
+78235 45360 0 0
+78293 45302 0 0
+78326 45223 0 0
+78326 50571 0 0
+77387 50571 0 0
+77387 46477 0 0
+77387 46313 0 0
+77387 43130 0 0
+77387 42966 0 0
+77324 42814 0 0
+77208 42698 0 0
+77056 42635 0 0
+76892 42635 0 0
+76740 42698 0 0
+76624 42814 0 0
+76580 42920 0 0
+76536 42814 0 0
+76420 42698 0 0
+76268 42635 0 0
+76104 42635 0 0
+75952 42698 0 0
+75836 42814 0 0
+75773 42966 0 0
+75773 43130 0 0
+75836 43282 0 0
+75952 43398 0 0
+76104 43461 0 0
+76268 43461 0 0
+76420 43398 0 0
+76536 43282 0 0
+76580 43175 0 0
+76624 43282 0 0
+76740 43398 0 0
+76892 43461 0 0
+77056 43461 0 0
+77208 43398 0 0
+77324 43282 0 0
+77387 43130 0 0
+77387 46313 0 0
+77324 46161 0 0
+77208 46045 0 0
+77056 45982 0 0
+76892 45982 0 0
+76740 46045 0 0
+76624 46161 0 0
+76580 46267 0 0
+76536 46161 0 0
+76420 46045 0 0
+76268 45982 0 0
+76104 45982 0 0
+75952 46045 0 0
+75836 46161 0 0
+75773 46313 0 0
+75773 46477 0 0
+75836 46629 0 0
+75952 46745 0 0
+76104 46808 0 0
+76268 46808 0 0
+76420 46745 0 0
+76536 46629 0 0
+76580 46522 0 0
+76624 46629 0 0
+76740 46745 0 0
+76892 46808 0 0
+77056 46808 0 0
+77208 46745 0 0
+77324 46629 0 0
+77387 46477 0 0
+77387 50571 0 0
+75615 50571 0 0
+75615 46477 0 0
+75615 46313 0 0
+75615 43130 0 0
+75615 42966 0 0
+75552 42814 0 0
+75436 42698 0 0
+75284 42635 0 0
+75120 42635 0 0
+74968 42698 0 0
+74852 42814 0 0
+74789 42966 0 0
+74789 43130 0 0
+74852 43282 0 0
+74968 43398 0 0
+75120 43461 0 0
+75284 43461 0 0
+75436 43398 0 0
+75552 43282 0 0
+75615 43130 0 0
+75615 46313 0 0
+75552 46161 0 0
+75436 46045 0 0
+75284 45982 0 0
+75186 45982 0 0
+75186 45043 0 0
+75186 44957 0 0
+75153 44878 0 0
+75092 44817 0 0
+75013 44784 0 0
+74927 44784 0 0
+74848 44817 0 0
+74787 44878 0 0
+74754 44957 0 0
+74754 45043 0 0
+74787 45122 0 0
+74848 45183 0 0
+74927 45216 0 0
+75013 45216 0 0
+75092 45183 0 0
+75153 45122 0 0
+75186 45043 0 0
+75186 45982 0 0
+75120 45982 0 0
+74968 46045 0 0
+74852 46161 0 0
+74789 46313 0 0
+74789 46477 0 0
+74852 46629 0 0
+74968 46745 0 0
+75120 46808 0 0
+75284 46808 0 0
+75436 46745 0 0
+75552 46629 0 0
+75615 46477 0 0
+75615 50571 0 0
+73466 50571 0 0
+73466 43073 0 0
+73466 42987 0 0
+73433 42908 0 0
+73372 42847 0 0
+73293 42814 0 0
+73207 42814 0 0
+73128 42847 0 0
+73067 42908 0 0
+73034 42987 0 0
+73034 43073 0 0
+73067 43152 0 0
+73128 43213 0 0
+73207 43246 0 0
+73293 43246 0 0
+73372 43213 0 0
+73433 43152 0 0
+73466 43073 0 0
+73466 50571 0 0
+71819 50571 0 0
+71879 40819 0 0
+81701 40819 0 0
+81701 50571 1 0
+$endPOLYSCORNERS
+$endCZONE_OUTLINE
+$CZONE_OUTLINE
+ZInfo 5158D80D 2 "GND"
+ZLayer 2
+ZAux 4 E
+ZClearance 59 I
+ZMinThickness 59
+ZOptions 0 16 F 200 200
+ZSmoothing 0 0
+ZCorner 81730 50600 0
+ZCorner 81750 40770 0
+ZCorner 71850 40790 0
+ZCorner 71790 50600 1
+$POLYSCORNERS
+81721 40799 0 0
+81701 50571 0 0
+78336 50571 0 0
+78336 44173 0 0
+78336 44087 0 0
+78303 44008 0 0
+78242 43947 0 0
+78193 43926 0 0
+78216 43873 0 0
+78216 43787 0 0
+78183 43708 0 0
+78122 43647 0 0
+78043 43614 0 0
+77957 43614 0 0
+77878 43647 0 0
+77825 43700 0 0
+77802 43677 0 0
+77723 43644 0 0
+77637 43644 0 0
+77558 43677 0 0
+77497 43738 0 0
+77464 43817 0 0
+77464 43903 0 0
+77497 43982 0 0
+77558 44043 0 0
+77637 44076 0 0
+77723 44076 0 0
+77802 44043 0 0
+77855 43990 0 0
+77878 44013 0 0
+77926 44033 0 0
+77904 44087 0 0
+77904 44173 0 0
+77937 44252 0 0
+77965 44280 0 0
+77917 44328 0 0
+77884 44407 0 0
+77884 44493 0 0
+77917 44572 0 0
+77978 44633 0 0
+78057 44666 0 0
+78143 44666 0 0
+78222 44633 0 0
+78283 44572 0 0
+78316 44493 0 0
+78316 44407 0 0
+78283 44328 0 0
+78255 44300 0 0
+78303 44252 0 0
+78336 44173 0 0
+78336 50571 0 0
+78326 50571 0 0
+78326 45223 0 0
+78326 45137 0 0
+78293 45058 0 0
+78232 44997 0 0
+78153 44964 0 0
+78067 44964 0 0
+77988 44997 0 0
+77927 45058 0 0
+77894 45137 0 0
+77894 45223 0 0
+77927 45302 0 0
+77945 45320 0 0
+77887 45378 0 0
+77854 45457 0 0
+77854 45543 0 0
+77887 45622 0 0
+77878 45627 0 0
+77850 45655 0 0
+77792 45597 0 0
+77713 45564 0 0
+77627 45564 0 0
+77548 45597 0 0
+77487 45658 0 0
+77454 45737 0 0
+77454 45823 0 0
+77487 45902 0 0
+77548 45963 0 0
+77627 45996 0 0
+77713 45996 0 0
+77792 45963 0 0
+77820 45935 0 0
+77878 45993 0 0
+77957 46026 0 0
+78043 46026 0 0
+78122 45993 0 0
+78183 45932 0 0
+78216 45853 0 0
+78216 45767 0 0
+78183 45688 0 0
+78182 45687 0 0
+78192 45683 0 0
+78253 45622 0 0
+78286 45543 0 0
+78286 45457 0 0
+78253 45378 0 0
+78235 45360 0 0
+78293 45302 0 0
+78326 45223 0 0
+78326 50571 0 0
+77387 50571 0 0
+77387 46477 0 0
+77387 46313 0 0
+77387 43130 0 0
+77387 42966 0 0
+77324 42814 0 0
+77208 42698 0 0
+77056 42635 0 0
+76892 42635 0 0
+76740 42698 0 0
+76624 42814 0 0
+76580 42920 0 0
+76536 42814 0 0
+76420 42698 0 0
+76268 42635 0 0
+76104 42635 0 0
+75952 42698 0 0
+75836 42814 0 0
+75773 42966 0 0
+75773 43130 0 0
+75836 43282 0 0
+75952 43398 0 0
+76104 43461 0 0
+76268 43461 0 0
+76420 43398 0 0
+76536 43282 0 0
+76580 43175 0 0
+76624 43282 0 0
+76740 43398 0 0
+76892 43461 0 0
+77056 43461 0 0
+77208 43398 0 0
+77324 43282 0 0
+77387 43130 0 0
+77387 46313 0 0
+77324 46161 0 0
+77208 46045 0 0
+77056 45982 0 0
+76892 45982 0 0
+76740 46045 0 0
+76624 46161 0 0
+76580 46267 0 0
+76536 46161 0 0
+76420 46045 0 0
+76268 45982 0 0
+76104 45982 0 0
+75952 46045 0 0
+75836 46161 0 0
+75773 46313 0 0
+75773 46477 0 0
+75836 46629 0 0
+75952 46745 0 0
+76104 46808 0 0
+76268 46808 0 0
+76420 46745 0 0
+76536 46629 0 0
+76580 46522 0 0
+76624 46629 0 0
+76740 46745 0 0
+76892 46808 0 0
+77056 46808 0 0
+77208 46745 0 0
+77324 46629 0 0
+77387 46477 0 0
+77387 50571 0 0
+75615 50571 0 0
+75615 46477 0 0
+75615 46313 0 0
+75615 43130 0 0
+75615 42966 0 0
+75552 42814 0 0
+75436 42698 0 0
+75284 42635 0 0
+75120 42635 0 0
+74968 42698 0 0
+74852 42814 0 0
+74789 42966 0 0
+74789 43130 0 0
+74852 43282 0 0
+74968 43398 0 0
+75120 43461 0 0
+75284 43461 0 0
+75436 43398 0 0
+75552 43282 0 0
+75615 43130 0 0
+75615 46313 0 0
+75552 46161 0 0
+75436 46045 0 0
+75284 45982 0 0
+75186 45982 0 0
+75186 45043 0 0
+75186 44957 0 0
+75153 44878 0 0
+75092 44817 0 0
+75013 44784 0 0
+74927 44784 0 0
+74848 44817 0 0
+74787 44878 0 0
+74754 44957 0 0
+74754 45043 0 0
+74787 45122 0 0
+74848 45183 0 0
+74927 45216 0 0
+75013 45216 0 0
+75092 45183 0 0
+75153 45122 0 0
+75186 45043 0 0
+75186 45982 0 0
+75120 45982 0 0
+74968 46045 0 0
+74852 46161 0 0
+74789 46313 0 0
+74789 46477 0 0
+74852 46629 0 0
+74968 46745 0 0
+75120 46808 0 0
+75284 46808 0 0
+75436 46745 0 0
+75552 46629 0 0
+75615 46477 0 0
+75615 50571 0 0
+73466 50571 0 0
+73466 43073 0 0
+73466 42987 0 0
+73433 42908 0 0
+73372 42847 0 0
+73293 42814 0 0
+73207 42814 0 0
+73128 42847 0 0
+73067 42908 0 0
+73034 42987 0 0
+73034 43073 0 0
+73067 43152 0 0
+73128 43213 0 0
+73207 43246 0 0
+73293 43246 0 0
+73372 43213 0 0
+73433 43152 0 0
+73466 43073 0 0
+73466 50571 0 0
+71819 50571 0 0
+71879 40819 0 0
+81721 40799 1 0
+$endPOLYSCORNERS
+$endCZONE_OUTLINE
+$CZONE_OUTLINE
+ZInfo 5158D853 1 "+5V"
+ZLayer 1
+ZAux 4 E
+ZClearance 59 I
+ZMinThickness 59
+ZOptions 0 16 F 200 200
+ZSmoothing 0 0
+ZCorner 81740 50610 0
+ZCorner 81730 40790 0
+ZCorner 71850 40790 0
+ZCorner 71790 50600 1
+$POLYSCORNERS
+81711 50581 0 0
+79841 50579 0 0
+79841 46720 0 0
+79841 46450 0 0
+79841 44043 0 0
+79841 43773 0 0
+79738 43524 0 0
+79548 43333 0 0
+79299 43229 0 0
+79029 43229 0 0
+78780 43332 0 0
+78589 43522 0 0
+78485 43771 0 0
+78485 44041 0 0
+78588 44290 0 0
+78778 44481 0 0
+79027 44585 0 0
+79297 44585 0 0
+79546 44482 0 0
+79737 44292 0 0
+79841 44043 0 0
+79841 46450 0 0
+79738 46201 0 0
+79548 46010 0 0
+79299 45906 0 0
+79029 45906 0 0
+78780 46009 0 0
+78589 46199 0 0
+78485 46448 0 0
+78485 46718 0 0
+78588 46967 0 0
+78778 47158 0 0
+79027 47262 0 0
+79297 47262 0 0
+79546 47159 0 0
+79737 46969 0 0
+79841 46720 0 0
+79841 50579 0 0
+78371 50577 0 0
+78371 46477 0 0
+78371 46313 0 0
+78371 43130 0 0
+78371 42966 0 0
+78308 42814 0 0
+78192 42698 0 0
+78040 42635 0 0
+77876 42635 0 0
+77724 42698 0 0
+77608 42814 0 0
+77545 42966 0 0
+77545 43130 0 0
+77608 43282 0 0
+77724 43398 0 0
+77876 43461 0 0
+78040 43461 0 0
+78192 43398 0 0
+78308 43282 0 0
+78371 43130 0 0
+78371 46313 0 0
+78308 46161 0 0
+78192 46045 0 0
+78040 45982 0 0
+77876 45982 0 0
+77724 46045 0 0
+77608 46161 0 0
+77545 46313 0 0
+77545 46477 0 0
+77608 46629 0 0
+77724 46745 0 0
+77876 46808 0 0
+78040 46808 0 0
+78192 46745 0 0
+78308 46629 0 0
+78371 46477 0 0
+78371 50577 0 0
+77387 50576 0 0
+77387 46477 0 0
+77387 46313 0 0
+77387 43130 0 0
+77387 42966 0 0
+77324 42814 0 0
+77208 42698 0 0
+77056 42635 0 0
+76892 42635 0 0
+76740 42698 0 0
+76624 42814 0 0
+76580 42920 0 0
+76536 42814 0 0
+76420 42698 0 0
+76268 42635 0 0
+76104 42635 0 0
+75952 42698 0 0
+75836 42814 0 0
+75773 42966 0 0
+75773 43130 0 0
+75836 43282 0 0
+75952 43398 0 0
+76104 43461 0 0
+76268 43461 0 0
+76420 43398 0 0
+76536 43282 0 0
+76580 43175 0 0
+76624 43282 0 0
+76740 43398 0 0
+76892 43461 0 0
+77056 43461 0 0
+77208 43398 0 0
+77324 43282 0 0
+77387 43130 0 0
+77387 46313 0 0
+77324 46161 0 0
+77208 46045 0 0
+77056 45982 0 0
+76892 45982 0 0
+76740 46045 0 0
+76624 46161 0 0
+76580 46267 0 0
+76536 46161 0 0
+76420 46045 0 0
+76268 45982 0 0
+76104 45982 0 0
+75952 46045 0 0
+75836 46161 0 0
+75773 46313 0 0
+75773 46477 0 0
+75836 46629 0 0
+75952 46745 0 0
+76104 46808 0 0
+76268 46808 0 0
+76420 46745 0 0
+76536 46629 0 0
+76580 46522 0 0
+76624 46629 0 0
+76740 46745 0 0
+76892 46808 0 0
+77056 46808 0 0
+77208 46745 0 0
+77324 46629 0 0
+77387 46477 0 0
+77387 50576 0 0
+75615 50574 0 0
+75615 46477 0 0
+75615 46313 0 0
+75615 43130 0 0
+75615 42966 0 0
+75552 42814 0 0
+75436 42698 0 0
+75284 42635 0 0
+75120 42635 0 0
+74968 42698 0 0
+74852 42814 0 0
+74789 42966 0 0
+74789 43130 0 0
+74834 43239 0 0
+74543 42947 0 0
+74505 42922 0 0
+74460 42913 0 0
+73435 42913 0 0
+73433 42908 0 0
+73372 42847 0 0
+73293 42814 0 0
+73207 42814 0 0
+73128 42847 0 0
+73067 42908 0 0
+73034 42987 0 0
+73034 43073 0 0
+73067 43152 0 0
+73128 43213 0 0
+73207 43246 0 0
+73293 43246 0 0
+73372 43213 0 0
+73433 43152 0 0
+73435 43147 0 0
+74411 43147 0 0
+74653 43388 0 0
+74653 43719 0 0
+74572 43524 0 0
+74382 43333 0 0
+74133 43229 0 0
+73863 43229 0 0
+73614 43332 0 0
+73423 43522 0 0
+73319 43771 0 0
+73319 44041 0 0
+73422 44290 0 0
+73612 44481 0 0
+73861 44585 0 0
+74131 44585 0 0
+74380 44482 0 0
+74571 44292 0 0
+74653 44095 0 0
+74653 44800 0 0
+74662 44845 0 0
+74687 44883 0 0
+74756 44951 0 0
+74754 44957 0 0
+74754 45043 0 0
+74787 45122 0 0
+74848 45183 0 0
+74927 45216 0 0
+75013 45216 0 0
+75092 45183 0 0
+75153 45122 0 0
+75186 45043 0 0
+75186 44957 0 0
+75153 44878 0 0
+75092 44817 0 0
+75013 44784 0 0
+74927 44784 0 0
+74921 44786 0 0
+74887 44751 0 0
+74887 43340 0 0
+74881 43311 0 0
+74968 43398 0 0
+75120 43461 0 0
+75284 43461 0 0
+75436 43398 0 0
+75552 43282 0 0
+75615 43130 0 0
+75615 46313 0 0
+75552 46161 0 0
+75436 46045 0 0
+75284 45982 0 0
+75120 45982 0 0
+74968 46045 0 0
+74852 46161 0 0
+74789 46313 0 0
+74789 46477 0 0
+74852 46629 0 0
+74968 46745 0 0
+75120 46808 0 0
+75284 46808 0 0
+75436 46745 0 0
+75552 46629 0 0
+75615 46477 0 0
+75615 50574 0 0
+74675 50573 0 0
+74675 46720 0 0
+74675 46450 0 0
+74572 46201 0 0
+74382 46010 0 0
+74133 45906 0 0
+73863 45906 0 0
+73614 46009 0 0
+73423 46199 0 0
+73319 46448 0 0
+73319 46718 0 0
+73422 46967 0 0
+73612 47158 0 0
+73861 47262 0 0
+74131 47262 0 0
+74380 47159 0 0
+74571 46969 0 0
+74675 46720 0 0
+74675 50573 0 0
+71819 50571 0 0
+71879 40819 0 0
+81701 40819 0 0
+81711 50581 1 0
+$endPOLYSCORNERS
+$endCZONE_OUTLINE
+$CZONE_OUTLINE
+ZInfo 5158D86F 1 "+5V"
+ZLayer 0
+ZAux 27 E
+ZClearance 59 I
+ZMinThickness 59
+ZOptions 0 16 F 200 200
+ZSmoothing 0 0
+ZCorner 71780 50610 0
+ZCorner 81730 50600 0
+ZCorner 81730 40790 0
+ZCorner 71850 40790 1
+ZCorner 75680 46720 0
+ZCorner 75340 46920 0
+ZCorner 74920 46910 0
+ZCorner 74790 46690 0
+ZCorner 74700 46290 0
+ZCorner 74730 45990 0
+ZCorner 74930 45760 0
+ZCorner 75500 45110 0
+ZCorner 75710 45090 0
+ZCorner 76310 45070 0
+ZCorner 76300 45740 0
+ZCorner 75710 46220 1
+ZCorner 76280 43710 0
+ZCorner 76280 44560 0
+ZCorner 75610 44550 0
+ZCorner 75300 44330 0
+ZCorner 74940 43820 0
+ZCorner 74720 43250 0
+ZCorner 74670 42960 0
+ZCorner 74820 42670 0
+ZCorner 75190 42510 0
+ZCorner 75560 42670 0
+ZCorner 75770 43330 1
+$POLYSCORNERS
+75067 44737 0 0
+75017 44786 0 0
+75013 44784 0 0
+74927 44784 0 0
+74848 44817 0 0
+74787 44878 0 0
+74754 44957 0 0
+74754 45043 0 0
+74787 45122 0 0
+74848 45183 0 0
+74927 45216 0 0
+74988 45216 0 0
+74421 45783 0 0
+73922 45783 0 0
+73922 45338 0 0
+73909 45306 0 0
+73884 45281 0 0
+73881 45279 0 0
+73884 45279 0 0
+73909 45254 0 0
+73922 45223 0 0
+73922 45188 0 0
+73922 44738 0 0
+73921 44737 0 0
+75067 44737 1 0
+81701 50571 0 0
+80502 50572 0 0
+80502 47043 0 0
+80502 47008 0 0
+80502 46758 0 0
+80502 46443 0 0
+80502 46408 0 0
+80502 46158 0 0
+80489 46126 0 0
+80464 46101 0 0
+80433 46088 0 0
+80398 46088 0 0
+80143 46088 0 0
+78894 44839 0 0
+79738 44839 0 0
+79783 44830 0 0
+79821 44805 0 0
+80134 44492 0 0
+80422 44492 0 0
+80454 44479 0 0
+80479 44454 0 0
+80492 44423 0 0
+80492 44388 0 0
+80492 44138 0 0
+80492 43823 0 0
+80492 43788 0 0
+80492 43538 0 0
+80479 43506 0 0
+80454 43481 0 0
+80423 43468 0 0
+80388 43468 0 0
+79938 43468 0 0
+79906 43481 0 0
+79881 43506 0 0
+79868 43537 0 0
+79868 43563 0 0
+79754 43563 0 0
+79738 43524 0 0
+79548 43333 0 0
+79299 43229 0 0
+79029 43229 0 0
+78780 43332 0 0
+78589 43522 0 0
+78485 43771 0 0
+78485 44041 0 0
+78588 44290 0 0
+78778 44481 0 0
+79027 44585 0 0
+79297 44585 0 0
+79546 44482 0 0
+79737 44292 0 0
+79841 44043 0 0
+79841 43797 0 0
+79868 43797 0 0
+79868 43822 0 0
+79881 43854 0 0
+79906 43879 0 0
+79937 43892 0 0
+79972 43892 0 0
+80422 43892 0 0
+80454 43879 0 0
+80479 43854 0 0
+80492 43823 0 0
+80492 44138 0 0
+80479 44106 0 0
+80454 44081 0 0
+80423 44068 0 0
+80388 44068 0 0
+79938 44068 0 0
+79906 44081 0 0
+79881 44106 0 0
+79868 44137 0 0
+79868 44172 0 0
+79868 44422 0 0
+79869 44424 0 0
+79689 44605 0 0
+77916 44605 0 0
+77899 44588 0 0
+77868 44575 0 0
+77833 44575 0 0
+77483 44575 0 0
+77451 44588 0 0
+77426 44613 0 0
+77413 44644 0 0
+77413 44679 0 0
+77413 44799 0 0
+77421 44819 0 0
+77413 44840 0 0
+77413 44875 0 0
+77413 44995 0 0
+77414 44998 0 0
+77232 44998 0 0
+77232 44243 0 0
+77219 44211 0 0
+77194 44186 0 0
+77163 44173 0 0
+77128 44173 0 0
+76992 44173 0 0
+77754 43410 0 0
+77876 43461 0 0
+78040 43461 0 0
+78192 43398 0 0
+78308 43282 0 0
+78371 43130 0 0
+78371 42966 0 0
+78308 42814 0 0
+78192 42698 0 0
+78040 42635 0 0
+77876 42635 0 0
+77724 42698 0 0
+77608 42814 0 0
+77545 42966 0 0
+77545 43130 0 0
+77591 43242 0 0
+77387 43446 0 0
+77387 43130 0 0
+77387 42966 0 0
+77324 42814 0 0
+77208 42698 0 0
+77091 42649 0 0
+77091 42374 0 0
+77082 42329 0 0
+77072 42313 0 0
+77072 42018 0 0
+77059 41986 0 0
+77034 41961 0 0
+77003 41948 0 0
+76968 41948 0 0
+76718 41948 0 0
+76686 41961 0 0
+76661 41986 0 0
+76648 42017 0 0
+76648 42052 0 0
+76648 42502 0 0
+76661 42534 0 0
+76686 42559 0 0
+76717 42572 0 0
+76752 42572 0 0
+76857 42572 0 0
+76857 42649 0 0
+76740 42698 0 0
+76624 42814 0 0
+76580 42920 0 0
+76536 42814 0 0
+76420 42698 0 0
+76303 42649 0 0
+76303 42572 0 0
+76402 42572 0 0
+76434 42559 0 0
+76459 42534 0 0
+76472 42503 0 0
+76472 42468 0 0
+76472 42018 0 0
+76459 41986 0 0
+76434 41961 0 0
+76403 41948 0 0
+76368 41948 0 0
+76118 41948 0 0
+76086 41961 0 0
+76061 41986 0 0
+76048 42017 0 0
+76048 42052 0 0
+76048 42502 0 0
+76061 42534 0 0
+76069 42542 0 0
+76069 42649 0 0
+75952 42698 0 0
+75836 42814 0 0
+75773 42966 0 0
+75773 43130 0 0
+75836 43282 0 0
+75952 43398 0 0
+76104 43461 0 0
+76268 43461 0 0
+76420 43398 0 0
+76536 43282 0 0
+76580 43175 0 0
+76624 43282 0 0
+76740 43398 0 0
+76892 43461 0 0
+77056 43461 0 0
+77208 43398 0 0
+77324 43282 0 0
+77387 43130 0 0
+77387 43446 0 0
+76737 44097 0 0
+76712 44135 0 0
+76704 44173 0 0
+76478 44173 0 0
+76446 44186 0 0
+76421 44211 0 0
+76408 44242 0 0
+76408 44277 0 0
+76408 45397 0 0
+76421 45429 0 0
+76446 45454 0 0
+76477 45467 0 0
+76512 45467 0 0
+76710 45467 0 0
+76712 45475 0 0
+76737 45513 0 0
+77545 46320 0 0
+77545 46477 0 0
+77608 46629 0 0
+77724 46745 0 0
+77876 46808 0 0
+78040 46808 0 0
+78192 46745 0 0
+78308 46629 0 0
+78371 46477 0 0
+78371 46313 0 0
+78308 46161 0 0
+78192 46045 0 0
+78040 45982 0 0
+77876 45982 0 0
+77724 46045 0 0
+77662 46106 0 0
+77022 45467 0 0
+77162 45467 0 0
+77194 45454 0 0
+77219 45429 0 0
+77232 45398 0 0
+77232 45363 0 0
+77232 45232 0 0
+77434 45232 0 0
+77451 45249 0 0
+77482 45262 0 0
+77517 45262 0 0
+77867 45262 0 0
+77899 45249 0 0
+77924 45224 0 0
+77937 45193 0 0
+77937 45158 0 0
+77937 45038 0 0
+77935 45035 0 0
+78759 45035 0 0
+79879 46154 0 0
+79878 46157 0 0
+79878 46192 0 0
+79878 46442 0 0
+79891 46474 0 0
+79916 46499 0 0
+79947 46512 0 0
+79982 46512 0 0
+80432 46512 0 0
+80464 46499 0 0
+80489 46474 0 0
+80502 46443 0 0
+80502 46758 0 0
+80489 46726 0 0
+80464 46701 0 0
+80433 46688 0 0
+80398 46688 0 0
+79948 46688 0 0
+79916 46701 0 0
+79891 46726 0 0
+79878 46757 0 0
+79878 46783 0 0
+79814 46783 0 0
+79841 46720 0 0
+79841 46450 0 0
+79738 46201 0 0
+79548 46010 0 0
+79299 45906 0 0
+79029 45906 0 0
+78780 46009 0 0
+78589 46199 0 0
+78485 46448 0 0
+78485 46718 0 0
+78588 46967 0 0
+78778 47158 0 0
+79027 47262 0 0
+79297 47262 0 0
+79546 47159 0 0
+79688 47017 0 0
+79878 47017 0 0
+79878 47042 0 0
+79891 47074 0 0
+79916 47099 0 0
+79947 47112 0 0
+79982 47112 0 0
+80432 47112 0 0
+80464 47099 0 0
+80489 47074 0 0
+80502 47043 0 0
+80502 50572 0 0
+77387 50575 0 0
+77387 46477 0 0
+77387 46313 0 0
+77324 46161 0 0
+77208 46045 0 0
+77056 45982 0 0
+76892 45982 0 0
+76740 46045 0 0
+76624 46161 0 0
+76580 46267 0 0
+76536 46161 0 0
+76420 46045 0 0
+76339 46011 0 0
+76268 45982 0 0
+76104 45982 0 0
+75990 46029 0 0
+76329 45754 0 0
+76339 45041 0 0
+76309 45041 0 0
+76279 45041 0 0
+76227 45042 0 0
+76227 45038 0 0
+76218 45016 0 0
+76227 44996 0 0
+76227 44961 0 0
+76227 44841 0 0
+76218 44820 0 0
+76227 44800 0 0
+76227 44765 0 0
+76227 44645 0 0
+76218 44623 0 0
+76227 44603 0 0
+76227 44587 0 0
+76309 44589 0 0
+76309 43695 0 0
+75795 43312 0 0
+75584 42649 0 0
+75190 42478 0 0
+74799 42647 0 0
+74640 42955 0 0
+74692 43258 0 0
+74914 43834 0 0
+75279 44351 0 0
+75359 44408 0 0
+75275 44408 0 0
+75230 44417 0 0
+75192 44442 0 0
+75190 44444 0 0
+75131 44503 0 0
+74329 44503 0 0
+74380 44482 0 0
+74571 44292 0 0
+74675 44043 0 0
+74675 43773 0 0
+74572 43524 0 0
+74382 43333 0 0
+74133 43229 0 0
+73864 43229 0 0
+73889 43204 0 0
+73902 43173 0 0
+73902 43138 0 0
+73902 42688 0 0
+73889 42656 0 0
+73864 42631 0 0
+73833 42618 0 0
+73798 42618 0 0
+73548 42618 0 0
+73544 42619 0 0
+72993 42067 0 0
+72993 41874 0 0
+72980 41842 0 0
+72955 41817 0 0
+72924 41804 0 0
+72889 41804 0 0
+72417 41804 0 0
+72385 41817 0 0
+72360 41842 0 0
+72347 41873 0 0
+72347 41908 0 0
+72347 42380 0 0
+72360 42412 0 0
+72385 42437 0 0
+72416 42450 0 0
+72451 42450 0 0
+72923 42450 0 0
+72955 42437 0 0
+72980 42412 0 0
+72987 42393 0 0
+73478 42883 0 0
+73478 43172 0 0
+73491 43204 0 0
+73516 43229 0 0
+73547 43242 0 0
+73582 43242 0 0
+73831 43242 0 0
+73614 43332 0 0
+73466 43479 0 0
+73466 43073 0 0
+73466 42987 0 0
+73433 42908 0 0
+73372 42847 0 0
+73293 42814 0 0
+73207 42814 0 0
+73154 42836 0 0
+72993 42836 0 0
+72993 42700 0 0
+72980 42668 0 0
+72955 42643 0 0
+72924 42630 0 0
+72889 42630 0 0
+72417 42630 0 0
+72385 42643 0 0
+72360 42668 0 0
+72347 42699 0 0
+72347 42734 0 0
+72347 43206 0 0
+72360 43238 0 0
+72385 43263 0 0
+72416 43276 0 0
+72451 43276 0 0
+72923 43276 0 0
+72955 43263 0 0
+72980 43238 0 0
+72993 43207 0 0
+72993 43172 0 0
+72993 43070 0 0
+73034 43070 0 0
+73034 43073 0 0
+73067 43152 0 0
+73128 43213 0 0
+73207 43246 0 0
+73293 43246 0 0
+73372 43213 0 0
+73433 43152 0 0
+73466 43073 0 0
+73466 43479 0 0
+73423 43522 0 0
+73319 43771 0 0
+73319 44041 0 0
+73422 44290 0 0
+73612 44481 0 0
+73664 44503 0 0
+73598 44503 0 0
+73496 44400 0 0
+73458 44375 0 0
+73413 44366 0 0
+73003 44366 0 0
+73003 44230 0 0
+72990 44198 0 0
+72965 44173 0 0
+72934 44160 0 0
+72899 44160 0 0
+72427 44160 0 0
+72395 44173 0 0
+72370 44198 0 0
+72357 44229 0 0
+72357 44264 0 0
+72357 44721 0 0
+72347 44711 0 0
+72347 44155 0 0
+72522 43980 0 0
+72933 43980 0 0
+72965 43967 0 0
+72990 43942 0 0
+73003 43911 0 0
+73003 43876 0 0
+73003 43404 0 0
+72990 43372 0 0
+72965 43347 0 0
+72934 43334 0 0
+72899 43334 0 0
+72427 43334 0 0
+72395 43347 0 0
+72370 43372 0 0
+72357 43403 0 0
+72357 43438 0 0
+72357 43814 0 0
+72147 44024 0 0
+72122 44062 0 0
+72113 44107 0 0
+72113 44760 0 0
+72122 44805 0 0
+72147 44843 0 0
+72367 45063 0 0
+72405 45088 0 0
+72450 45097 0 0
+73498 45097 0 0
+73498 45222 0 0
+73511 45254 0 0
+73536 45279 0 0
+73538 45280 0 0
+73536 45281 0 0
+73511 45306 0 0
+73498 45337 0 0
+73498 45372 0 0
+73498 45463 0 0
+72700 45463 0 0
+72655 45472 0 0
+72617 45497 0 0
+72177 45937 0 0
+72152 45975 0 0
+72143 46020 0 0
+72143 46893 0 0
+72152 46938 0 0
+72177 46976 0 0
+72317 47115 0 0
+72317 47526 0 0
+72330 47558 0 0
+72355 47583 0 0
+72386 47596 0 0
+72421 47596 0 0
+72893 47596 0 0
+72914 47587 0 0
+72797 47704 0 0
+72387 47704 0 0
+72355 47717 0 0
+72330 47742 0 0
+72317 47773 0 0
+72317 47808 0 0
+72317 48280 0 0
+72330 48312 0 0
+72355 48337 0 0
+72386 48350 0 0
+72421 48350 0 0
+72893 48350 0 0
+72925 48337 0 0
+72950 48312 0 0
+72963 48281 0 0
+72963 48246 0 0
+72963 47869 0 0
+73155 47677 0 0
+73498 47677 0 0
+73498 47802 0 0
+73511 47834 0 0
+73536 47859 0 0
+73567 47872 0 0
+73602 47872 0 0
+73852 47872 0 0
+73884 47859 0 0
+73909 47834 0 0
+73922 47803 0 0
+73922 47768 0 0
+73922 47318 0 0
+73909 47286 0 0
+73885 47262 0 0
+74131 47262 0 0
+74380 47159 0 0
+74571 46969 0 0
+74593 46916 0 0
+74593 47921 0 0
+73778 48736 0 0
+72963 48736 0 0
+72963 48600 0 0
+72950 48568 0 0
+72925 48543 0 0
+72894 48530 0 0
+72859 48530 0 0
+72387 48530 0 0
+72355 48543 0 0
+72330 48568 0 0
+72317 48599 0 0
+72317 48634 0 0
+72317 49106 0 0
+72330 49138 0 0
+72355 49163 0 0
+72386 49176 0 0
+72421 49176 0 0
+72893 49176 0 0
+72925 49163 0 0
+72950 49138 0 0
+72963 49107 0 0
+72963 49072 0 0
+72963 48970 0 0
+73827 48970 0 0
+73872 48961 0 0
+73910 48936 0 0
+74790 48054 0 0
+74792 48053 0 0
+74793 48053 0 0
+74818 48015 0 0
+74827 47971 0 0
+74826 47970 0 0
+74827 47970 0 0
+74827 46809 0 0
+74903 46939 0 0
+75348 46949 0 0
+75708 46737 0 0
+75738 46234 0 0
+75871 46125 0 0
+75836 46161 0 0
+75773 46313 0 0
+75773 46477 0 0
+75836 46629 0 0
+75952 46745 0 0
+76069 46793 0 0
+76069 46850 0 0
+76058 46877 0 0
+76058 46912 0 0
+76058 47362 0 0
+76071 47394 0 0
+76096 47419 0 0
+76127 47432 0 0
+76162 47432 0 0
+76339 47432 0 0
+76412 47432 0 0
+76444 47419 0 0
+76469 47394 0 0
+76482 47363 0 0
+76482 47328 0 0
+76482 46878 0 0
+76469 46846 0 0
+76444 46821 0 0
+76413 46808 0 0
+76378 46808 0 0
+76339 46808 0 0
+76303 46808 0 0
+76303 46793 0 0
+76339 46778 0 0
+76420 46745 0 0
+76536 46629 0 0
+76580 46522 0 0
+76624 46629 0 0
+76740 46745 0 0
+76753 46750 0 0
+76753 46808 0 0
+76728 46808 0 0
+76696 46821 0 0
+76671 46846 0 0
+76658 46877 0 0
+76658 46912 0 0
+76658 47362 0 0
+76671 47394 0 0
+76696 47419 0 0
+76727 47432 0 0
+76762 47432 0 0
+77012 47432 0 0
+77044 47419 0 0
+77069 47394 0 0
+77082 47363 0 0
+77082 47328 0 0
+77082 46878 0 0
+77069 46846 0 0
+77044 46821 0 0
+77013 46808 0 0
+77056 46808 0 0
+77208 46745 0 0
+77324 46629 0 0
+77387 46477 0 0
+77387 50575 0 0
+76339 50576 0 0
+75340 50577 0 0
+71809 50581 0 0
+71879 40819 0 0
+81701 40819 0 0
+81701 50571 1 0
+$endPOLYSCORNERS
+$endCZONE_OUTLINE
+$CZONE_OUTLINE
+ZInfo 5158DCAF 12 "N-000011"
+ZLayer 0
+ZAux 28 E
+ZClearance 59 I
+ZMinThickness 59
+ZOptions 0 16 F 200 200
+ZSmoothing 0 0
+ZCorner 75720 44410 0
+ZCorner 75790 44400 0
+ZCorner 76150 44400 0
+ZCorner 76150 43820 0
+ZCorner 75750 43460 0
+ZCorner 75620 43310 0
+ZCorner 75580 43000 0
+ZCorner 75470 42780 0
+ZCorner 75340 42670 0
+ZCorner 75110 42670 0
+ZCorner 75020 42700 0
+ZCorner 74930 42780 0
+ZCorner 74860 42870 0
+ZCorner 74820 42960 0
+ZCorner 74800 43130 0
+ZCorner 74850 43230 0
+ZCorner 74890 43390 0
+ZCorner 74930 43520 0
+ZCorner 74990 43660 0
+ZCorner 75060 43760 0
+ZCorner 75110 43850 0
+ZCorner 75220 44000 0
+ZCorner 75260 44040 0
+ZCorner 75300 44090 0
+ZCorner 75400 44180 0
+ZCorner 75450 44200 0
+ZCorner 75470 44220 0
+ZCorner 75640 44360 1
+$POLYSCORNERS
+76121 44371 0 0
+75788 44371 0 0
+75726 44380 0 0
+75657 44336 0 0
+75490 44199 0 0
+75466 44175 0 0
+75416 44155 0 0
+75321 44070 0 0
+75282 44021 0 0
+75242 43981 0 0
+75134 43834 0 0
+75085 43745 0 0
+75015 43646 0 0
+74957 43510 0 0
+74918 43382 0 0
+74877 43220 0 0
+74830 43125 0 0
+74848 42968 0 0
+74885 42885 0 0
+74951 42800 0 0
+75035 42726 0 0
+75115 42699 0 0
+75329 42699 0 0
+75447 42798 0 0
+75552 43009 0 0
+75592 43322 0 0
+75729 43480 0 0
+76121 43833 0 0
+76121 44371 1 0
+$endPOLYSCORNERS
+$endCZONE_OUTLINE
+$CZONE_OUTLINE
+ZInfo 5158DD69 9 "N-000008"
+ZLayer 0
+ZAux 22 E
+ZClearance 59 I
+ZMinThickness 59
+ZOptions 0 16 F 200 200
+ZSmoothing 0 0
+ZCorner 75260 46810 0
+ZCorner 75330 46790 0
+ZCorner 75410 46770 0
+ZCorner 75500 46680 0
+ZCorner 75540 46570 0
+ZCorner 75600 46400 0
+ZCorner 75620 46160 0
+ZCorner 76150 45690 0
+ZCorner 76160 45240 0
+ZCorner 75530 45240 0
+ZCorner 74990 45870 0
+ZCorner 74900 46010 0
+ZCorner 74850 46110 0
+ZCorner 74830 46210 0
+ZCorner 74830 46320 0
+ZCorner 74820 46430 0
+ZCorner 74830 46520 0
+ZCorner 74860 46590 0
+ZCorner 74930 46690 0
+ZCorner 74970 46760 0
+ZCorner 75000 46780 0
+ZCorner 75120 46790 1
+$POLYSCORNERS
+76130 45269 0 0
+76121 45677 0 0
+75592 46146 0 0
+75571 46394 0 0
+75513 46560 0 0
+75475 46664 0 0
+75395 46744 0 0
+75322 46762 0 0
+75258 46780 0 0
+75123 46761 0 0
+75010 46752 0 0
+74992 46740 0 0
+74955 46674 0 0
+74885 46576 0 0
+74858 46513 0 0
+74849 46430 0 0
+74859 46321 0 0
+74859 46213 0 0
+74878 46119 0 0
+74925 46024 0 0
+75013 45887 0 0
+75543 45269 0 0
+76130 45269 1 0
+$endPOLYSCORNERS
+$endCZONE_OUTLINE
$EndBOARD
diff --git a/hardware/8port/opendcp8.cmp b/hardware/8port/opendcp8.cmp
new file mode 100644
index 0000000..197c6a2
--- /dev/null
+++ b/hardware/8port/opendcp8.cmp
@@ -0,0 +1,101 @@
+Cmp-Mod V01 Created by CvPcb (2012-apr-16-27)-stable date = Mo 01 Apr 2013 02:29:55 CEST
+
+BeginCmp
+TimeStamp = /5158C307;
+Reference = D1;
+ValeurCmp = RED01;
+IdModule = LED-0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5158C308;
+Reference = D2;
+ValeurCmp = GREEN01;
+IdModule = LED-0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5158C289;
+Reference = D3;
+ValeurCmp = RED02;
+IdModule = LED-0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5158C281;
+Reference = D4;
+ValeurCmp = GREEN02;
+IdModule = LED-0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /5158CEF2;
+Reference = J1;
+ValeurCmp = Dual-USB;
+IdModule = Dual-USB-WE;
+EndCmp
+
+BeginCmp
+TimeStamp = /5158C482;
+Reference = R1;
+ValeurCmp = 200R;
+IdModule = SM0603;
+EndCmp
+
+BeginCmp
+TimeStamp = /5158C3B6;
+Reference = R2;
+ValeurCmp = 330R;
+IdModule = SM0603;
+EndCmp
+
+BeginCmp
+TimeStamp = /5158C58C;
+Reference = R3;
+ValeurCmp = 250R;
+IdModule = SM0603;
+EndCmp
+
+BeginCmp
+TimeStamp = /5158C3C8;
+Reference = R4;
+ValeurCmp = 330R;
+IdModule = SM0603;
+EndCmp
+
+BeginCmp
+TimeStamp = /5158C59D;
+Reference = R5;
+ValeurCmp = 250R;
+IdModule = SM0603;
+EndCmp
+
+BeginCmp
+TimeStamp = /5158C3CA;
+Reference = R6;
+ValeurCmp = 330R;
+IdModule = SM0603;
+EndCmp
+
+BeginCmp
+TimeStamp = /5158C3CC;
+Reference = R7;
+ValeurCmp = 330R;
+IdModule = SM0603;
+EndCmp
+
+BeginCmp
+TimeStamp = /5158C465;
+Reference = R8;
+ValeurCmp = 200R;
+IdModule = SM0603;
+EndCmp
+
+BeginCmp
+TimeStamp = /5158C210;
+Reference = U1;
+ValeurCmp = LTC4415;
+IdModule = MSOP_17;
+EndCmp
+
+EndListe
diff --git a/hardware/8port/opendcp8.net b/hardware/8port/opendcp8.net
new file mode 100644
index 0000000..702d719
--- /dev/null
+++ b/hardware/8port/opendcp8.net
@@ -0,0 +1,180 @@
+# EESchema Netlist Version 1.1 created Mo 01 Apr 2013 02:29:55 CEST
+(
+ ( /5158C307 LED-0805 D1 RED01
+ ( 1 N-000014 )
+ ( 2 N-000010 )
+ )
+ ( /5158C308 LED-0805 D2 GREEN01
+ ( 1 N-000013 )
+ ( 2 N-000012 )
+ )
+ ( /5158C289 LED-0805 D3 RED02
+ ( 1 N-000015 )
+ ( 2 N-000016 )
+ )
+ ( /5158C281 LED-0805 D4 GREEN02
+ ( 1 N-000017 )
+ ( 2 N-000009 )
+ )
+ ( /5158CEF2 Dual-USB-WE J1 Dual-USB
+ ( 1 N-000011 )
+ ( 2 N-000004 )
+ ( 3 N-000005 )
+ ( 4 GND )
+ ( 5 GND )
+ ( 6 GND )
+ ( 7 N-000008 )
+ ( 8 N-000002 )
+ ( 9 N-000001 )
+ ( 10 GND )
+ ( 11 GND )
+ ( 12 GND )
+ )
+ ( /5158C482 SM0603 R1 200R
+ ( 1 N-000005 )
+ ( 2 N-000004 )
+ )
+ ( /5158C3B6 SM0603 R2 330R
+ ( 1 N-000014 )
+ ( 2 +5V )
+ )
+ ( /5158C58C SM0603 R3 250R
+ ( 1 GND )
+ ( 2 N-000006 )
+ )
+ ( /5158C3C8 SM0603 R4 330R
+ ( 1 N-000013 )
+ ( 2 +5V )
+ )
+ ( /5158C59D SM0603 R5 250R
+ ( 1 GND )
+ ( 2 N-000007 )
+ )
+ ( /5158C3CA SM0603 R6 330R
+ ( 1 N-000015 )
+ ( 2 +5V )
+ )
+ ( /5158C3CC SM0603 R7 330R
+ ( 1 N-000017 )
+ ( 2 +5V )
+ )
+ ( /5158C465 SM0603 R8 200R
+ ( 1 N-000001 )
+ ( 2 N-000002 )
+ )
+ ( /5158C210 MSOP_17 U1 LTC4415
+ ( 1 +5V )
+ ( 2 +5V )
+ ( 3 +5V )
+ ( 4 N-000006 )
+ ( 5 N-000007 )
+ ( 6 GND )
+ ( 7 +5V )
+ ( 8 +5V )
+ ( 9 N-000008 )
+ ( 10 N-000008 )
+ ( 11 N-000009 )
+ ( 12 N-000016 )
+ ( 13 N-000012 )
+ ( 14 N-000010 )
+ ( 15 N-000011 )
+ ( 16 N-000011 )
+ ( 17 GND )
+ )
+)
+*
+{ Allowed footprints by component:
+$component D1
+ LED-3MM
+ LED-5MM
+ LED-10MM
+ LED-0603
+ LED-0805
+ LED-1206
+ LEDV
+$endlist
+$component D2
+ LED-3MM
+ LED-5MM
+ LED-10MM
+ LED-0603
+ LED-0805
+ LED-1206
+ LEDV
+$endlist
+$component D3
+ LED-3MM
+ LED-5MM
+ LED-10MM
+ LED-0603
+ LED-0805
+ LED-1206
+ LEDV
+$endlist
+$component D4
+ LED-3MM
+ LED-5MM
+ LED-10MM
+ LED-0603
+ LED-0805
+ LED-1206
+ LEDV
+$endlist
+$component R1
+ R?
+ SM0603
+ SM0805
+ R?-*
+ SM1206
+$endlist
+$component R2
+ R?
+ SM0603
+ SM0805
+ R?-*
+ SM1206
+$endlist
+$component R3
+ R?
+ SM0603
+ SM0805
+ R?-*
+ SM1206
+$endlist
+$component R4
+ R?
+ SM0603
+ SM0805
+ R?-*
+ SM1206
+$endlist
+$component R5
+ R?
+ SM0603
+ SM0805
+ R?-*
+ SM1206
+$endlist
+$component R6
+ R?
+ SM0603
+ SM0805
+ R?-*
+ SM1206
+$endlist
+$component R7
+ R?
+ SM0603
+ SM0805
+ R?-*
+ SM1206
+$endlist
+$component R8
+ R?
+ SM0603
+ SM0805
+ R?-*
+ SM1206
+$endlist
+$endfootprintlist
+}
diff --git a/hardware/8port/opendcp8.sch b/hardware/8port/opendcp8.sch
index 7c079af..92d6cfa 100644
--- a/hardware/8port/opendcp8.sch
+++ b/hardware/8port/opendcp8.sch
@@ -1,4 +1,4 @@
-EESchema Schematic File Version 2 date Mo 01 Apr 2013 00:49:27 CEST
+EESchema Schematic File Version 2 date Mo 01 Apr 2013 02:33:11 CEST
LIBS:power
LIBS:device
LIBS:transistors
@@ -37,7 +37,7 @@ $Descr A4 11700 8267
encoding utf-8
Sheet 1 1
Title ""
-Date "31 mar 2013"
+Date "1 apr 2013"
Rev ""
Comp ""
Comment1 ""
@@ -45,13 +45,362 @@ Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
+Wire Wire Line
+ 6350 4650 6200 4650
+Wire Wire Line
+ 6300 4400 6350 4400
+Connection ~ 6300 3600
+Wire Wire Line
+ 6350 3600 6300 3600
+Wire Wire Line
+ 6350 3350 6200 3350
+Wire Wire Line
+ 5300 4800 5300 4750
+Wire Wire Line
+ 3850 3900 3800 3900
+Wire Wire Line
+ 4500 3900 4350 3900
+Connection ~ 4450 3600
+Wire Wire Line
+ 4450 3450 4450 3800
+Wire Wire Line
+ 4450 3800 4500 3800
+Wire Wire Line
+ 4450 3600 4500 3600
+Wire Wire Line
+ 4450 4550 4450 4400
+Wire Wire Line
+ 4450 4400 4500 4400
+Wire Wire Line
+ 6100 4200 7300 4200
+Wire Wire Line
+ 7300 4200 7300 4250
+Wire Wire Line
+ 7300 4250 7650 4250
+Wire Wire Line
+ 7300 3250 7300 3350
+Wire Wire Line
+ 7300 3350 7150 3350
+Wire Wire Line
+ 7300 4750 7300 4650
+Wire Wire Line
+ 7300 4650 7150 4650
+Wire Wire Line
+ 6100 3600 6200 3600
+Wire Wire Line
+ 6200 3600 6200 3350
+Connection ~ 6200 4500
+Wire Wire Line
+ 6100 4500 6200 4500
+Wire Wire Line
+ 7150 4400 7200 4400
+Wire Wire Line
+ 6100 4100 7650 4100
+Connection ~ 8650 4100
+Wire Wire Line
+ 8650 3700 8650 4250
+Wire Wire Line
+ 8650 4250 8600 4250
+Connection ~ 8650 3750
+Wire Wire Line
+ 8650 3900 8600 3900
+Wire Wire Line
+ 8050 4250 8100 4250
+Wire Wire Line
+ 8050 3900 8100 3900
+Wire Wire Line
+ 8100 3750 8050 3750
+Wire Wire Line
+ 8100 4100 8050 4100
+Wire Wire Line
+ 8650 3750 8600 3750
+Wire Wire Line
+ 8650 4100 8600 4100
+Connection ~ 8650 3900
+Wire Wire Line
+ 7650 3900 6100 3900
+Wire Wire Line
+ 7200 4400 7200 4350
+Wire Wire Line
+ 6100 4400 6200 4400
+Wire Wire Line
+ 6200 4400 6200 4650
+Wire Wire Line
+ 7150 3600 7200 3600
+Wire Wire Line
+ 7200 3600 7200 3650
+Wire Wire Line
+ 6100 3500 6200 3500
+Connection ~ 6200 3500
+Wire Wire Line
+ 6100 3800 7300 3800
+Wire Wire Line
+ 7300 3800 7300 3750
+Wire Wire Line
+ 7300 3750 7650 3750
+Wire Wire Line
+ 4500 4500 4450 4500
+Connection ~ 4450 4500
+Wire Wire Line
+ 4500 3500 4450 3500
+Connection ~ 4450 3500
+Wire Wire Line
+ 4500 4200 4450 4200
+Wire Wire Line
+ 4450 4200 4450 4250
+Wire Wire Line
+ 4350 4100 4500 4100
+Wire Wire Line
+ 3850 4100 3800 4100
+Wire Wire Line
+ 7150 3450 7800 3450
+Wire Wire Line
+ 7800 3450 7800 3250
+Wire Wire Line
+ 6300 3650 6300 3450
+Wire Wire Line
+ 6300 3450 6350 3450
+Wire Wire Line
+ 7800 4750 7800 4550
+Wire Wire Line
+ 7800 4550 7150 4550
+Wire Wire Line
+ 6300 4350 6300 4550
+Wire Wire Line
+ 6300 4550 6350 4550
+Connection ~ 6300 4400
$Comp
-L AT90USB162 U?
-U 1 1 5158BD6D
-P 6250 3750
-F 0 "U?" H 5850 3800 60 0000 C CNN
-F 1 "AT90USB162" H 6050 3700 60 0000 C CNN
- 1 6250 3750
+L Dual-USB J1
+U 2 1 5158CEF2
+P 6750 4450
+F 0 "J1" H 6750 4850 60 0000 C CNN
+F 1 "Dual-USB" H 6745 4750 60 0000 C CNN
+ 2 6750 4450
+ 1 0 0 1
+$EndComp
+$Comp
+L Dual-USB J1
+U 1 1 5158CEC7
+P 6750 3550
+F 0 "J1" H 6750 3950 60 0000 C CNN
+F 1 "Dual-USB" H 6745 3850 60 0000 C CNN
+ 1 6750 3550
+ 1 0 0 -1
+$EndComp
+$Comp
+L GND #PWR01
+U 1 1 5158C5AF
+P 3800 4100
+F 0 "#PWR01" H 3800 4100 30 0001 C CNN
+F 1 "GND" H 3800 4030 30 0001 C CNN
+ 1 3800 4100
+ 0 1 1 0
+$EndComp
+$Comp
+L GND #PWR02
+U 1 1 5158C5A5
+P 3800 3900
+F 0 "#PWR02" H 3800 3900 30 0001 C CNN
+F 1 "GND" H 3800 3830 30 0001 C CNN
+ 1 3800 3900
+ 0 1 1 0
+$EndComp
+$Comp
+L R R5
+U 1 1 5158C59D
+P 4100 4100
+F 0 "R5" V 4180 4100 50 0000 C CNN
+F 1 "250R" V 4100 4100 50 0000 C CNN
+ 1 4100 4100
+ 0 -1 -1 0
+$EndComp
+$Comp
+L R R3
+U 1 1 5158C58C
+P 4100 3900
+F 0 "R3" V 4180 3900 50 0000 C CNN
+F 1 "250R" V 4100 3900 50 0000 C CNN
+ 1 4100 3900
+ 0 -1 -1 0
+$EndComp
+$Comp
+L GND #PWR03
+U 1 1 5158C581
+P 4450 4250
+F 0 "#PWR03" H 4450 4250 30 0001 C CNN
+F 1 "GND" H 4450 4180 30 0001 C CNN
+ 1 4450 4250
+ 1 0 0 -1
+$EndComp
+$Comp
+L +5V #PWR04
+U 1 1 5158C524
+P 4450 3450
+F 0 "#PWR04" H 4450 3540 20 0001 C CNN
+F 1 "+5V" H 4450 3540 30 0000 C CNN
+ 1 4450 3450
+ 1 0 0 -1
+$EndComp
+$Comp
+L +5V #PWR05
+U 1 1 5158C517
+P 4450 4550
+F 0 "#PWR05" H 4450 4640 20 0001 C CNN
+F 1 "+5V" H 4450 4640 30 0000 C CNN
+ 1 4450 4550
+ -1 0 0 1
+$EndComp
+$Comp
+L R R1
+U 1 1 5158C482
+P 7550 3250
+F 0 "R1" V 7630 3250 50 0000 C CNN
+F 1 "200R" V 7550 3250 50 0000 C CNN
+ 1 7550 3250
+ 0 -1 -1 0
+$EndComp
+$Comp
+L R R8
+U 1 1 5158C465
+P 7550 4750
+F 0 "R8" V 7630 4750 50 0000 C CNN
+F 1 "200R" V 7550 4750 50 0000 C CNN
+ 1 7550 4750
+ 0 -1 -1 0
+$EndComp
+$Comp
+L GND #PWR06
+U 1 1 5158C41B
+P 7200 4350
+F 0 "#PWR06" H 7200 4350 30 0001 C CNN
+F 1 "GND" H 7200 4280 30 0001 C CNN
+ 1 7200 4350
+ -1 0 0 1
+$EndComp
+$Comp
+L GND #PWR07
+U 1 1 5158C416
+P 6300 4350
+F 0 "#PWR07" H 6300 4350 30 0001 C CNN
+F 1 "GND" H 6300 4280 30 0001 C CNN
+ 1 6300 4350
+ -1 0 0 1
+$EndComp
+$Comp
+L GND #PWR08
+U 1 1 5158C412
+P 6300 3650
+F 0 "#PWR08" H 6300 3650 30 0001 C CNN
+F 1 "GND" H 6300 3580 30 0001 C CNN
+ 1 6300 3650
+ 1 0 0 -1
+$EndComp
+$Comp
+L GND #PWR09
+U 1 1 5158C40B
+P 7200 3650
+F 0 "#PWR09" H 7200 3650 30 0001 C CNN
+F 1 "GND" H 7200 3580 30 0001 C CNN
+ 1 7200 3650
+ 1 0 0 -1
+$EndComp
+$Comp
+L R R7
+U 1 1 5158C3CC
+P 8350 4250
+F 0 "R7" V 8430 4250 50 0000 C CNN
+F 1 "330R" V 8350 4250 50 0000 C CNN
+ 1 8350 4250
+ 0 -1 -1 0
+$EndComp
+$Comp
+L R R6
+U 1 1 5158C3CA
+P 8350 4100
+F 0 "R6" V 8430 4100 50 0000 C CNN
+F 1 "330R" V 8350 4100 50 0000 C CNN
+ 1 8350 4100
+ 0 -1 -1 0
+$EndComp
+$Comp
+L R R4
+U 1 1 5158C3C8
+P 8350 3900
+F 0 "R4" V 8430 3900 50 0000 C CNN
+F 1 "330R" V 8350 3900 50 0000 C CNN
+ 1 8350 3900
+ 0 -1 -1 0
+$EndComp
+$Comp
+L R R2
+U 1 1 5158C3B6
+P 8350 3750
+F 0 "R2" V 8430 3750 50 0000 C CNN
+F 1 "330R" V 8350 3750 50 0000 C CNN
+ 1 8350 3750
+ 0 -1 -1 0
+$EndComp
+$Comp
+L +5V #PWR010
+U 1 1 5158C3AD
+P 8650 3700
+F 0 "#PWR010" H 8650 3790 20 0001 C CNN
+F 1 "+5V" H 8650 3790 30 0000 C CNN
+ 1 8650 3700
+ 1 0 0 -1
+$EndComp
+$Comp
+L LED D2
+U 1 1 5158C308
+P 7850 3900
+F 0 "D2" H 7650 3850 50 0000 C CNN
+F 1 "GREEN01" H 8200 3850 50 0000 C CNN
+ 1 7850 3900
+ -1 0 0 1
+$EndComp
+$Comp
+L LED D1
+U 1 1 5158C307
+P 7850 3750
+F 0 "D1" H 7650 3700 50 0000 C CNN
+F 1 "RED01" H 8150 3700 50 0000 C CNN
+ 1 7850 3750
+ -1 0 0 1
+$EndComp
+$Comp
+L LED D3
+U 1 1 5158C289
+P 7850 4100
+F 0 "D3" H 7650 4050 50 0000 C CNN
+F 1 "RED02" H 8150 4050 50 0000 C CNN
+ 1 7850 4100
+ -1 0 0 1
+$EndComp
+$Comp
+L LED D4
+U 1 1 5158C281
+P 7850 4250
+F 0 "D4" H 7650 4200 50 0000 C CNN
+F 1 "GREEN02" H 8200 4200 50 0000 C CNN
+ 1 7850 4250
+ -1 0 0 1
+$EndComp
+$Comp
+L GND #PWR011
+U 1 1 5158C26C
+P 5300 4800
+F 0 "#PWR011" H 5300 4800 30 0001 C CNN
+F 1 "GND" H 5300 4730 30 0001 C CNN
+ 1 5300 4800
+ 1 0 0 -1
+$EndComp
+$Comp
+L LTC4415 U1
+U 1 1 5158C210
+P 5300 4000
+F 0 "U1" H 5300 3950 60 0000 C CNN
+F 1 "LTC4415" H 5300 4050 60 0000 C CNN
+ 1 5300 4000
1 0 0 -1
$EndComp
$EndSCHEMATC