summaryrefslogtreecommitdiff
path: root/software/avr.lib/led.c
diff options
context:
space:
mode:
authorChristian Pointner <equinox@mur.at>2013-02-27 14:42:10 +0000
committerChristian Pointner <equinox@mur.at>2013-02-27 14:42:10 +0000
commit4cd9b12c1868611d9f129983145ba276b09160f3 (patch)
tree74efc58c72728e578a053381e3a74af2e53e2248 /software/avr.lib/led.c
parentrda1846dongle: finished gnd vias (diff)
merged fixes and new Boards from avr utils
git-svn-id: https://svn.spreadspace.org/mur.sat@681 7de4ea59-55d0-425e-a1af-a3118ea81d4c
Diffstat (limited to 'software/avr.lib/led.c')
-rw-r--r--software/avr.lib/led.c4
1 files changed, 2 insertions, 2 deletions
diff --git a/software/avr.lib/led.c b/software/avr.lib/led.c
index 7305c00..4e08d6f 100644
--- a/software/avr.lib/led.c
+++ b/software/avr.lib/led.c
@@ -28,7 +28,7 @@
#define NUM_LEDS 2
#elif defined(__BOARD_teensy1__) || defined(__BOARD_teensy1pp__) || defined(__BOARD_teensy2__) || defined(__BOARD_teensy2pp__) \
|| defined(__BOARD_arduinoNG__) || defined(__BOARD_arduino2009v2__) || defined(__BOARD_arduino2009__) || defined(__BOARD_arduino10000__) \
- || defined(__BOARD_hhd70dongle__) || defined(__BOARD_culV3__)
+ || defined(__BOARD_hhd70dongle__) || defined(__BOARD_rda1846dongle__) || defined(__BOARD_culV3__)
#define NUM_LEDS 1
#else
#define NUM_LEDS 0
@@ -56,7 +56,7 @@
#define LED2_PINNUM 6
#endif
-#if defined(__BOARD_hhd70dongle__) || defined(__BOARD_culV3__)
+#if defined(__BOARD_hhd70dongle__) || defined(__BOARD_rda1846dongle__) || defined(__BOARD_culV3__)
#define LED_PORT PORTE
#define LED_DDR DDRE
#define LED_PINNUM 6