summaryrefslogtreecommitdiff
path: root/hardware/rda1846dongle
diff options
context:
space:
mode:
authorChristian Pointner <equinox@mur.at>2012-12-28 01:45:02 +0000
committerChristian Pointner <equinox@mur.at>2012-12-28 01:45:02 +0000
commit48d79978fce05bf9b44f16214dfa940c90cdb7da (patch)
treecf73c8012bc8cd2b0783dde69495497496e167fa /hardware/rda1846dongle
parentcleanup (diff)
netlist for rdadongle
git-svn-id: https://svn.spreadspace.org/mur.sat@653 7de4ea59-55d0-425e-a1af-a3118ea81d4c
Diffstat (limited to 'hardware/rda1846dongle')
-rw-r--r--hardware/rda1846dongle/rda1846dongle.brd84
-rw-r--r--hardware/rda1846dongle/rda1846dongle.cmp528
-rw-r--r--hardware/rda1846dongle/rda1846dongle.net707
-rw-r--r--hardware/rda1846dongle/rda1846dongle.pro65
-rw-r--r--hardware/rda1846dongle/rda1846dongle.sch206
5 files changed, 1455 insertions, 135 deletions
diff --git a/hardware/rda1846dongle/rda1846dongle.brd b/hardware/rda1846dongle/rda1846dongle.brd
new file mode 100644
index 0000000..437d981
--- /dev/null
+++ b/hardware/rda1846dongle/rda1846dongle.brd
@@ -0,0 +1,84 @@
+PCBNEW-BOARD Version 1 date Fr 28 Dez 2012 02:24:38 CET
+
+# Created by Pcbnew(2012-apr-16-27)-stable
+
+$GENERAL
+encoding utf-8
+LayerCount 2
+Ly 1FFF8001
+EnabledLayers 1FFF8001
+Links 0
+NoConn 0
+Di 0 0 117000 82670
+Ndraw 0
+Ntrack 0
+Nzone 0
+BoardThickness 630
+Nmodule 0
+Nnets 1
+$EndGENERAL
+
+$SHEETDESCR
+Sheet A4 11700 8267
+Title ""
+Date "28 dec 2012"
+Rev ""
+Comp ""
+Comment1 ""
+Comment2 ""
+Comment3 ""
+Comment4 ""
+$EndSHEETDESCR
+
+$SETUP
+InternalUnit 0.000100 INCH
+Layers 2
+Layer[0] Rückseite signal
+Layer[15] Vorderseite signal
+TrackWidth 80
+TrackClearence 100
+ZoneClearence 200
+TrackMinWidth 80
+DrawSegmWidth 150
+EdgeSegmWidth 150
+ViaSize 350
+ViaDrill 250
+ViaMinSize 350
+ViaMinDrill 200
+MicroViaSize 200
+MicroViaDrill 50
+MicroViasAllowed 0
+MicroViaMinSize 200
+MicroViaMinDrill 50
+TextPcbWidth 120
+TextPcbSize 600 800
+EdgeModWidth 150
+TextModSize 600 600
+TextModWidth 120
+PadSize 600 600
+PadDrill 320
+Pad2MaskClearance 100
+AuxiliaryAxisOrg 0 0
+PcbPlotParams (pcbplotparams (layerselection 3178497) (usegerberextensions true) (excludeedgelayer true) (linewidth 60) (plotframeref false) (viasonmask false) (mode 1) (useauxorigin false) (hpglpennumber 1) (hpglpenspeed 20) (hpglpendiameter 15) (hpglpenoverlay 2) (pscolor true) (psnegative false) (psa4output false) (plotreference true) (plotvalue true) (plotothertext true) (plotinvisibletext false) (padsonsilk false) (subtractmaskfromsilk false) (outputformat 1) (mirror false) (drillshape 1) (scaleselection 1) (outputdirectory ""))
+$EndSETUP
+
+$EQUIPOT
+Na 0 ""
+St ~
+$EndEQUIPOT
+$NCLASS
+Name "Default"
+Desc "Dies ist die voreingestellte Netzklasse."
+Clearance 100
+TrackWidth 80
+ViaDia 350
+ViaDrill 250
+uViaDia 200
+uViaDrill 50
+AddNet ""
+$EndNCLASS
+$TRACK
+$EndTRACK
+$ZONE
+$EndZONE
+$EndBOARD
diff --git a/hardware/rda1846dongle/rda1846dongle.cmp b/hardware/rda1846dongle/rda1846dongle.cmp
new file mode 100644
index 0000000..4af7b6e
--- /dev/null
+++ b/hardware/rda1846dongle/rda1846dongle.cmp
@@ -0,0 +1,528 @@
+Cmp-Mod V01 Created by CvPcb (2012-apr-16-27)-stable date = Fr 28 Dez 2012 02:43:08 CET
+
+BeginCmp
+TimeStamp = /4F74B8DC;
+Reference = C1;
+ValeurCmp = 22nF/200V;
+IdModule = SM1206;
+EndCmp
+
+BeginCmp
+TimeStamp = /4F7224B7;
+Reference = C2;
+ValeurCmp = 100nF;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /4F722923;
+Reference = C3;
+ValeurCmp = 100nF;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /4F5A8BD4;
+Reference = C4;
+ValeurCmp = 4,7µF;
+IdModule = SM1206POL;
+EndCmp
+
+BeginCmp
+TimeStamp = /4F7224F8;
+Reference = C5;
+ValeurCmp = 100nF;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /4F7224FF;
+Reference = C6;
+ValeurCmp = 100nF;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /4F5A8BC1;
+Reference = C7;
+ValeurCmp = 10nF;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /4F722526;
+Reference = C8;
+ValeurCmp = 10pF;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /4F7224FE;
+Reference = C9;
+ValeurCmp = 100nF;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /4F722A25;
+Reference = C10;
+ValeurCmp = 1µF;
+IdModule = SM1206POL;
+EndCmp
+
+BeginCmp
+TimeStamp = /4F5A8BC0;
+Reference = C11;
+ValeurCmp = 10nF;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /4F72253B;
+Reference = C12;
+ValeurCmp = 10pF;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /4F5A8BD3;
+Reference = C13;
+ValeurCmp = 4,7µF;
+IdModule = SM1206POL;
+EndCmp
+
+BeginCmp
+TimeStamp = /4F5A8BD6;
+Reference = C14;
+ValeurCmp = 10nF;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D39C99;
+Reference = C15;
+ValeurCmp = 22pF;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /4FBACA35;
+Reference = C16;
+ValeurCmp = 47nF;
+IdModule = SM1206;
+EndCmp
+
+BeginCmp
+TimeStamp = /4FBACA34;
+Reference = C17;
+ValeurCmp = 10µF;
+IdModule = SM1206POL;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D39C8D;
+Reference = C18;
+ValeurCmp = 22pF;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D39D7A;
+Reference = C19;
+ValeurCmp = 47µF;
+IdModule = SM1206POL;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D39D8A;
+Reference = C20;
+ValeurCmp = 47µF;
+IdModule = SM1206POL;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D39C7F;
+Reference = C21;
+ValeurCmp = 47µF;
+IdModule = SM1206POL;
+EndCmp
+
+BeginCmp
+TimeStamp = /4FBBEF92;
+Reference = C22;
+ValeurCmp = 47nF;
+IdModule = SM1206;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D39A73;
+Reference = C23;
+ValeurCmp = 1nF;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /4FBBEF93;
+Reference = C24;
+ValeurCmp = DNP;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D39A7A;
+Reference = C25;
+ValeurCmp = 100nF;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D39B4C;
+Reference = C26;
+ValeurCmp = 1nF;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D39AED;
+Reference = C27;
+ValeurCmp = 1nF;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D39B4B;
+Reference = C28;
+ValeurCmp = 100nF;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D39AEC;
+Reference = C29;
+ValeurCmp = 100nF;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D39B95;
+Reference = C30;
+ValeurCmp = 1nF;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D39B0D;
+Reference = C31;
+ValeurCmp = 1nF;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D39B96;
+Reference = C32;
+ValeurCmp = 100nF;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D39B0E;
+Reference = C33;
+ValeurCmp = 100nF;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D39BAF;
+Reference = C34;
+ValeurCmp = 1nF;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D39BAE;
+Reference = C35;
+ValeurCmp = 100nF;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /4F7225D2;
+Reference = D1;
+ValeurCmp = Pwr;
+IdModule = LED-0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /4F722601;
+Reference = D2;
+ValeurCmp = LED;
+IdModule = LED-0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /4F74BA76;
+Reference = FB1;
+ValeurCmp = 0,47µH;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /4FE4E159;
+Reference = FB2;
+ValeurCmp = 600R@100Mhz;
+IdModule = SM1206;
+EndCmp
+
+BeginCmp
+TimeStamp = /4F722418;
+Reference = J1;
+ValeurCmp = USB;
+IdModule = USB_MICRO_AB;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D3976E;
+Reference = K1;
+ValeurCmp = CRX_EN;
+IdModule = SIL-3;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D3A02C;
+Reference = K2;
+ValeurCmp = SDA;
+IdModule = SIL-3;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D39D1D;
+Reference = K3;
+ValeurCmp = CRX_PDN;
+IdModule = SIL-3;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D3A037;
+Reference = K4;
+ValeurCmp = SCL;
+IdModule = SIL-3;
+EndCmp
+
+BeginCmp
+TimeStamp = /4F5A8BBF;
+Reference = L1;
+ValeurCmp = 6,8µH;
+IdModule = we_coil_m;
+EndCmp
+
+BeginCmp
+TimeStamp = /4F74FDE2;
+Reference = P1;
+ValeurCmp = PB;
+IdModule = SIL-10;
+EndCmp
+
+BeginCmp
+TimeStamp = /4FBACB8B;
+Reference = P2;
+ValeurCmp = USB_PWR;
+IdModule = PIN_ARRAY_2X2;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D3A0C5;
+Reference = P3;
+ValeurCmp = PD;
+IdModule = SIL-10;
+EndCmp
+
+BeginCmp
+TimeStamp = /4F74FDFB;
+Reference = P4;
+ValeurCmp = PC/PF;
+IdModule = SIL-10;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D396AE;
+Reference = P5;
+ValeurCmp = PIC;
+IdModule = SIL-6;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D39D67;
+Reference = P6;
+ValeurCmp = CRX_MIC;
+IdModule = SIL-3;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D39D6E;
+Reference = P7;
+ValeurCmp = CRX_SPK;
+IdModule = SIL-3;
+EndCmp
+
+BeginCmp
+TimeStamp = /4FBACB4B;
+Reference = P8;
+ValeurCmp = 3V_PWR;
+IdModule = PIN_ARRAY_2X2;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D39E68;
+Reference = P9;
+ValeurCmp = CRX_GPIO;
+IdModule = SIL-8;
+EndCmp
+
+BeginCmp
+TimeStamp = /502BE331;
+Reference = P10;
+ValeurCmp = PA_PWR;
+IdModule = PIN_ARRAY_2X2;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D39147;
+Reference = Q1;
+ValeurCmp = PMGD370XN;
+IdModule = SOT363;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D39382;
+Reference = Q2;
+ValeurCmp = PMGD370XN;
+IdModule = SOT363;
+EndCmp
+
+BeginCmp
+TimeStamp = /4F7225D8;
+Reference = R1;
+ValeurCmp = 330R;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /4F722636;
+Reference = R2;
+ValeurCmp = 22R;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /4F722502;
+Reference = R3;
+ValeurCmp = 22R;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /4F7224B3;
+Reference = R4;
+ValeurCmp = 1K0;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /4F722600;
+Reference = R5;
+ValeurCmp = 330R;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D3917C;
+Reference = R6;
+ValeurCmp = 2k2;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D39181;
+Reference = R7;
+ValeurCmp = 2k2;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D39162;
+Reference = R8;
+ValeurCmp = 2k2;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D39167;
+Reference = R9;
+ValeurCmp = 2k2;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D3952D;
+Reference = R10;
+ValeurCmp = 100R;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D39A23;
+Reference = R11;
+ValeurCmp = 50R;
+IdModule = SM0805;
+EndCmp
+
+BeginCmp
+TimeStamp = /4F7236B2;
+Reference = RF1;
+ValeurCmp = RF_CON;
+IdModule = TE_SMA_RE;
+EndCmp
+
+BeginCmp
+TimeStamp = /4F72270C;
+Reference = SW1;
+ValeurCmp = SW_PUSH;
+IdModule = SW_PUSH_SMALL;
+EndCmp
+
+BeginCmp
+TimeStamp = /4F72240E;
+Reference = U1;
+ValeurCmp = ATMEGA32U4;
+IdModule = TQFP44;
+EndCmp
+
+BeginCmp
+TimeStamp = /4FBACA6E;
+Reference = U2;
+ValeurCmp = LT1962-3.3;
+IdModule = MSOP_8;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D39A02;
+Reference = U3;
+ValeurCmp = RDA1846;
+IdModule = QFN32-5x5;
+EndCmp
+
+BeginCmp
+TimeStamp = /4F73A73B;
+Reference = X1;
+ValeurCmp = 16MHz;
+IdModule = quartz5x3;
+EndCmp
+
+BeginCmp
+TimeStamp = /50D39C74;
+Reference = X2;
+ValeurCmp = 12,288MHz;
+IdModule = quartz5x3;
+EndCmp
+
+EndListe
diff --git a/hardware/rda1846dongle/rda1846dongle.net b/hardware/rda1846dongle/rda1846dongle.net
new file mode 100644
index 0000000..c5e35a8
--- /dev/null
+++ b/hardware/rda1846dongle/rda1846dongle.net
@@ -0,0 +1,707 @@
+# EESchema Netlist Version 1.1 created Fr 28 Dez 2012 02:43:08 CET
+(
+ ( /4F74B8DC SM1206 C1 22nF/200V
+ ( 1 N-000071 )
+ ( 2 GND )
+ )
+ ( /4F7224B7 SM0805 C2 100nF
+ ( 1 N-000030 )
+ ( 2 GND )
+ )
+ ( /4F722923 SM0805 C3 100nF
+ ( 1 VCC )
+ ( 2 GND )
+ )
+ ( /4F5A8BD4 SM1206POL C4 4,7µF
+ ( 1 /5V_PWR )
+ ( 2 /USB_GND )
+ )
+ ( /4F7224F8 SM0805 C5 100nF
+ ( 1 VCC )
+ ( 2 GND )
+ )
+ ( /4F7224FF SM0805 C6 100nF
+ ( 1 VCC )
+ ( 2 GND )
+ )
+ ( /4F5A8BC1 SM0805 C7 10nF
+ ( 1 /5V_PWR )
+ ( 2 /USB_GND )
+ )
+ ( /4F722526 SM0805 C8 10pF
+ ( 1 N-000039 )
+ ( 2 GND )
+ )
+ ( /4F7224FE SM0805 C9 100nF
+ ( 1 VCC )
+ ( 2 GND )
+ )
+ ( /4F722A25 SM1206POL C10 1µF
+ ( 1 N-000064 )
+ ( 2 GND )
+ )
+ ( /4F5A8BC0 SM0805 C11 10nF
+ ( 1 /5V_PWR_FLT )
+ ( 2 GND )
+ )
+ ( /4F72253B SM0805 C12 10pF
+ ( 1 N-000040 )
+ ( 2 GND )
+ )
+ ( /4F5A8BD3 SM1206POL C13 4,7µF
+ ( 1 /5V_PWR_FLT )
+ ( 2 GND )
+ )
+ ( /4F5A8BD6 SM0805 C14 10nF
+ ( 1 N-000061 )
+ ( 2 N-000062 )
+ )
+ ( /50D39C99 SM0805 C15 22pF
+ ( 1 N-000017 )
+ ( 2 GND )
+ )
+ ( /4FBACA35 SM1206 C16 47nF
+ ( 1 N-000062 )
+ ( 2 GND )
+ )
+ ( /4FBACA34 SM1206POL C17 10µF
+ ( 1 N-000062 )
+ ( 2 GND )
+ )
+ ( /50D39C8D SM0805 C18 22pF
+ ( 1 N-000072 )
+ ( 2 GND )
+ )
+ ( /50D39D7A SM1206POL C19 47µF
+ ( 1 N-000075 )
+ ( 2 N-000021 )
+ )
+ ( /50D39D8A SM1206POL C20 47µF
+ ( 1 N-000079 )
+ ( 2 N-000018 )
+ )
+ ( /50D39C7F SM1206POL C21 47µF
+ ( 1 N-000015 )
+ ( 2 GND )
+ )
+ ( /4FBBEF92 SM1206 C22 47nF
+ ( 1 /INT_PWR )
+ ( 2 GND )
+ )
+ ( /50D39A73 SM0805 C23 1nF
+ ( 1 VCC )
+ ( 2 GND )
+ )
+ ( /4FBBEF93 SM0805 C24 DNP
+ ( 1 /INT_PWR )
+ ( 2 GND )
+ )
+ ( /50D39A7A SM0805 C25 100nF
+ ( 1 VCC )
+ ( 2 GND )
+ )
+ ( /50D39B4C SM0805 C26 1nF
+ ( 1 VCC )
+ ( 2 GND )
+ )
+ ( /50D39AED SM0805 C27 1nF
+ ( 1 VCC )
+ ( 2 GND )
+ )
+ ( /50D39B4B SM0805 C28 100nF
+ ( 1 VCC )
+ ( 2 GND )
+ )
+ ( /50D39AEC SM0805 C29 100nF
+ ( 1 VCC )
+ ( 2 GND )
+ )
+ ( /50D39B95 SM0805 C30 1nF
+ ( 1 VCC )
+ ( 2 GND )
+ )
+ ( /50D39B0D SM0805 C31 1nF
+ ( 1 VCC )
+ ( 2 GND )
+ )
+ ( /50D39B96 SM0805 C32 100nF
+ ( 1 VCC )
+ ( 2 GND )
+ )
+ ( /50D39B0E SM0805 C33 100nF
+ ( 1 VCC )
+ ( 2 GND )
+ )
+ ( /50D39BAF SM0805 C34 1nF
+ ( 1 VCC )
+ ( 2 GND )
+ )
+ ( /50D39BAE SM0805 C35 100nF
+ ( 1 VCC )
+ ( 2 GND )
+ )
+ ( /4F7225D2 LED-0805 D1 Pwr
+ ( 1 N-000038 )
+ ( 2 GND )
+ )
+ ( /4F722601 LED-0805 D2 LED
+ ( 1 N-000037 )
+ ( 2 GND )
+ )
+ ( /4F74BA76 SM0805 FB1 0,47µH
+ ( 1 /USB_GND )
+ ( 2 GND )
+ )
+ ( /4FE4E159 SM1206 FB2 600R@100Mhz
+ ( 1 N-000062 )
+ ( 2 /INT_PWR )
+ )
+ ( /4F722418 USB_MICRO_AB J1 USB
+ ( 1 /USB_PWR )
+ ( 2 N-000032 )
+ ( 3 N-000036 )
+ ( 4 /USB_GND )
+ ( 5 N-000071 )
+ ( 6 N-000071 )
+ )
+ ( /50D3976E SIL-3 K1 CRX_EN
+ ( 1 /CRX_EN )
+ ( 2 N-000006 )
+ ( 3 /5V_PWR_FLT )
+ )
+ ( /50D3A02C SIL-3 K2 SDA
+ ( 1 /PIC_SDA )
+ ( 2 /CRX_SDA )
+ ( 3 /SDA )
+ )
+ ( /50D39D1D SIL-3 K3 CRX_PDN
+ ( 1 GND )
+ ( 2 N-000073 )
+ ( 3 VCC )
+ )
+ ( /50D3A037 SIL-3 K4 SCL
+ ( 1 /PIC_SCL )
+ ( 2 /CRX_SCL )
+ ( 3 /SCL )
+ )
+ ( /4F5A8BBF we_coil_m L1 6,8µH
+ ( 1 /5V_PWR )
+ ( 2 /5V_PWR_FLT )
+ )
+ ( /4F74FDE2 SIL-10 P1 PB
+ ( 1 VCC )
+ ( 2 /PB0 )
+ ( 3 /PB1 )
+ ( 4 /PB2 )
+ ( 5 /PB3 )
+ ( 6 /PB4 )
+ ( 7 /PB5 )
+ ( 8 /PB6 )
+ ( 9 /PB7 )
+ ( 10 GND )
+ )
+ ( /4FBACB8B PIN_ARRAY_2X2 P2 USB_PWR
+ ( 1 /USB_PWR )
+ ( 2 /5V_PWR )
+ ( 3 /USB_GND )
+ ( 4 /USB_GND )
+ )
+ ( /50D3A0C5 SIL-10 P3 PD
+ ( 1 VCC )
+ ( 2 /SCL )
+ ( 3 /SDA )
+ ( 4 /CRX_INT )
+ ( 5 /PD3 )
+ ( 6 /PD4 )
+ ( 7 /PD5 )
+ ( 8 /PD6 )
+ ( 9 /PD7 )
+ ( 10 GND )
+ )
+ ( /4F74FDFB SIL-10 P4 PC/PF
+ ( 1 VCC )
+ ( 2 /PC6 )
+ ( 3 /PC7 )
+ ( 4 /PF0 )
+ ( 5 /PF1 )
+ ( 6 /PF4 )
+ ( 7 /PF5 )
+ ( 8 /PF6 )
+ ( 9 /PF7 )
+ ( 10 GND )
+ )
+ ( /50D396AE SIL-6 P5 PIC
+ ( 1 N-000077 )
+ ( 2 N-000008 )
+ ( 3 N-000009 )
+ ( 4 N-000010 )
+ ( 5 /CRX_EN )
+ ( 6 GND )
+ )
+ ( /50D39D67 SIL-3 P6 CRX_MIC
+ ( 1 N-000075 )
+ ( 2 GND )
+ )
+ ( /50D39D6E SIL-3 P7 CRX_SPK
+ ( 1 GND )
+ ( 2 N-000079 )
+ )
+ ( /4FBACB4B PIN_ARRAY_2X2 P8 3V_PWR
+ ( 1 VCC )
+ ( 2 /INT_PWR )
+ ( 3 GND )
+ ( 4 GND )
+ )
+ ( /50D39E68 SIL-8 P9 CRX_GPIO
+ ( 1 N-000016 )
+ ( 2 N-000022 )
+ ( 3 /CRX_INT )
+ ( 4 N-000005 )
+ ( 5 N-000013 )
+ ( 6 N-000028 )
+ ( 7 N-000026 )
+ ( 8 N-000025 )
+ )
+ ( /502BE331 PIN_ARRAY_2X2 P10 PA_PWR
+ ( 1 /5V_PWR_FLT )
+ ( 2 ? )
+ ( 3 GND )
+ ( 4 GND )
+ )
+ ( /50D39147 SOT363 Q1 PMGD370XN
+ ( 1 N-000009 )
+ ( 2 N-000077 )
+ ( 3 /PIC_SCL )
+ ( 4 N-000008 )
+ ( 5 N-000077 )
+ ( 6 /PIC_SDA )
+ )
+ ( /50D39382 SOT363 Q2 PMGD370XN
+ ( 1 GND )
+ ( 2 N-000002 )
+ ( 6 N-000010 )
+ )
+ ( /4F7225D8 SM0805 R1 330R
+ ( 1 VCC )
+ ( 2 N-000038 )
+ )
+ ( /4F722636 SM0805 R2 22R
+ ( 1 N-000035 )
+ ( 2 N-000036 )
+ )
+ ( /4F722502 SM0805 R3 22R
+ ( 1 N-000034 )
+ ( 2 N-000032 )
+ )
+ ( /4F7224B3 SM0805 R4 1K0
+ ( 1 N-000047 )
+ ( 2 GND )
+ )
+ ( /4F722600 SM0805 R5 330R
+ ( 1 N-000033 )
+ ( 2 N-000037 )
+ )
+ ( /50D3917C SM0805 R6 2k2
+ ( 1 N-000009 )
+ ( 2 N-000077 )
+ )
+ ( /50D39181 SM0805 R7 2k2
+ ( 1 N-000077 )
+ ( 2 N-000008 )
+ )
+ ( /50D39162 SM0805 R8 2k2
+ ( 1 /PIC_SDA )
+ ( 2 VCC )
+ )
+ ( /50D39167 SM0805 R9 2k2
+ ( 1 VCC )
+ ( 2 /PIC_SCL )
+ )
+ ( /50D3952D SM0805 R10 100R
+ ( 1 N-000002 )
+ ( 2 /CRX_INT )
+ )
+ ( /50D39A23 SM0805 R11 50R
+ ( 1 GND )
+ ( 2 N-000029 )
+ )
+ ( /4F7236B2 TE_SMA_RE RF1 RF_CON
+ ( 1 GND )
+ ( 2 GND )
+ ( 3 GND )
+ ( 4 GND )
+ ( 5 N-000063 )
+ )
+ ( /4F72270C SW_PUSH_SMALL SW1 SW_PUSH
+ ( 1 GND )
+ ( 2 N-000046 )
+ )
+ ( /4F72240E TQFP44 U1 ATMEGA32U4
+ ( 1 N-000033 )
+ ( 2 /USB_PWR )
+ ( 3 N-000034 )
+ ( 4 N-000035 )
+ ( 5 GND )
+ ( 6 N-000064 )
+ ( 7 /USB_PWR )
+ ( 8 /PB0 )
+ ( 9 /PB1 )
+ ( 10 /PB2 )
+ ( 11 /PB3 )
+ ( 12 /PB7 )
+ ( 13 N-000046 )
+ ( 14 VCC )
+ ( 15 GND )
+ ( 16 N-000039 )
+ ( 17 N-000040 )
+ ( 18 /SCL )
+ ( 19 /SDA )
+ ( 20 /CRX_INT )
+ ( 21 /PD3 )
+ ( 22 /PD5 )
+ ( 23 GND )
+ ( 24 VCC )
+ ( 25 /PD4 )
+ ( 26 /PD6 )
+ ( 27 /PD7 )
+ ( 28 /PB4 )
+ ( 29 /PB5 )
+ ( 30 /PB6 )
+ ( 31 /PC6 )
+ ( 32 /PC7 )
+ ( 33 N-000047 )
+ ( 34 VCC )
+ ( 35 GND )
+ ( 36 /PF7 )
+ ( 37 /PF6 )
+ ( 38 /PF5 )
+ ( 39 /PF4 )
+ ( 40 /PF1 )
+ ( 41 /PF0 )
+ ( 42 N-000030 )
+ ( 43 GND )
+ ( 44 VCC )
+ )
+ ( /4FBACA6E MSOP_8 U2 LT1962-3.3
+ ( 1 N-000062 )
+ ( 2 N-000062 )
+ ( 3 N-000061 )
+ ( 4 GND )
+ ( 5 N-000006 )
+ ( 6 GND )
+ ( 7 GND )
+ ( 8 /5V_PWR_FLT )
+ )
+ ( /50D39A02 QFN32-5x5 U3 RDA1846
+ ( 1 VCC )
+ ( 2 /CRX_SCL )
+ ( 3 /CRX_SDA )
+ ( 4 VCC )
+ ( 5 N-000017 )
+ ( 6 N-000072 )
+ ( 7 GND )
+ ( 8 GND )
+ ( 9 N-000018 )
+ ( 10 ? )
+ ( 11 N-000021 )
+ ( 12 N-000015 )
+ ( 13 VCC )
+ ( 14 ? )
+ ( 15 N-000063 )
+ ( 16 VCC )
+ ( 17 ? )
+ ( 18 N-000029 )
+ ( 19 ? )
+ ( 20 ? )
+ ( 21 VCC )
+ ( 22 ? )
+ ( 23 VCC )
+ ( 24 N-000073 )
+ ( 25 N-000025 )
+ ( 26 N-000026 )
+ ( 27 N-000028 )
+ ( 28 N-000013 )
+ ( 29 N-000005 )
+ ( 30 /CRX_INT )
+ ( 31 N-000022 )
+ ( 32 N-000016 )
+ ( 33 GND )
+ )
+ ( /4F73A73B quartz5x3 X1 16MHz
+ ( 1 N-000039 )
+ ( 3 N-000040 )
+ )
+ ( /50D39C74 quartz5x3 X2 12,288MHz
+ ( 1 N-000017 )
+ ( 2 N-000072 )
+ )
+)
+*
+{ Allowed footprints by component:
+$component C1
+ SM*
+ C?
+ C1-1
+$endlist
+$component C2
+ SM*
+ C?
+ C1-1
+$endlist
+$component C3
+ SM*
+ C?
+ C1-1
+$endlist
+$component C4
+ CP*
+ SM*
+$endlist
+$component C5
+ SM*
+ C?
+ C1-1
+$endlist
+$component C6
+ SM*
+ C?
+ C1-1
+$endlist
+$component C7
+ SM*
+ C?
+ C1-1
+$endlist
+$component C8
+ SM*
+ C?
+ C1-1
+$endlist
+$component C9
+ SM*
+ C?
+ C1-1
+$endlist
+$component C10
+ CP*
+ SM*
+$endlist
+$component C11
+ SM*
+ C?
+ C1-1
+$endlist
+$component C12
+ SM*
+ C?
+ C1-1
+$endlist
+$component C13
+ CP*
+ SM*
+$endlist
+$component C14
+ SM*
+ C?
+ C1-1
+$endlist
+$component C15
+ SM*
+ C?
+ C1-1
+$endlist
+$component C16
+ SM*
+ C?
+ C1-1
+$endlist
+$component C17
+ CP*
+ SM*
+$endlist
+$component C18
+ SM*
+ C?
+ C1-1
+$endlist
+$component C19
+ SM*
+ C?
+ C1-1
+$endlist
+$component C20
+ SM*
+ C?
+ C1-1
+$endlist
+$component C21
+ SM*
+ C?
+ C1-1
+$endlist
+$component C22
+ SM*
+ C?
+ C1-1
+$endlist
+$component C23
+ SM*
+ C?
+ C1-1
+$endlist
+$component C24
+ CP*
+ SM*
+$endlist
+$component C25
+ SM*
+ C?
+ C1-1
+$endlist
+$component C26
+ SM*
+ C?
+ C1-1
+$endlist
+$component C27
+ SM*
+ C?
+ C1-1
+$endlist
+$component C28
+ SM*
+ C?
+ C1-1
+$endlist
+$component C29
+ SM*
+ C?
+ C1-1
+$endlist
+$component C30
+ SM*
+ C?
+ C1-1
+$endlist
+$component C31
+ SM*
+ C?
+ C1-1
+$endlist
+$component C32
+ SM*
+ C?
+ C1-1
+$endlist
+$component C33
+ SM*
+ C?
+ C1-1
+$endlist
+$component C34
+ SM*
+ C?
+ C1-1
+$endlist
+$component C35
+ SM*
+ C?
+ C1-1
+$endlist
+$component D1
+ LED-3MM
+ LED-5MM
+ LED-10MM
+ LED-0603
+ LED-0805
+ LED-1206
+ LEDV
+$endlist
+$component D2
+ LED-3MM
+ LED-5MM
+ LED-10MM
+ LED-0603
+ LED-0805
+ LED-1206
+ LEDV
+$endlist
+$component R1
+ R?
+ SM0603
+ SM0805
+ R?-*
+ SM1206
+$endlist
+$component R2
+ R?
+ SM0603
+ SM0805
+ R?-*
+ SM1206
+$endlist
+$component R3
+ R?
+ SM0603
+ SM0805
+ R?-*
+ SM1206
+$endlist
+$component R4
+ R?
+ SM0603
+ SM0805
+ R?-*
+ SM1206
+$endlist
+$component R5
+ R?
+ SM0603
+ SM0805
+ R?-*
+ SM1206
+$endlist
+$component R6
+ R?
+ SM0603
+ SM0805
+ R?-*
+ SM1206
+$endlist
+$component R7
+ R?
+ SM0603
+ SM0805
+ R?-*
+ SM1206
+$endlist
+$component R8
+ R?
+ SM0603
+ SM0805
+ R?-*
+ SM1206
+$endlist
+$component R9
+ R?
+ SM0603
+ SM0805
+ R?-*
+ SM1206
+$endlist
+$component R10
+ R?
+ SM0603
+ SM0805
+ R?-*
+ SM1206
+$endlist
+$component R11
+ R?
+ SM0603
+ SM0805
+ R?-*
+ SM1206
+$endlist
+$endfootprintlist
+}
diff --git a/hardware/rda1846dongle/rda1846dongle.pro b/hardware/rda1846dongle/rda1846dongle.pro
index 221864c..87b79ff 100644
--- a/hardware/rda1846dongle/rda1846dongle.pro
+++ b/hardware/rda1846dongle/rda1846dongle.pro
@@ -1,41 +1,11 @@
-update=Di 18 Dez 2012 23:00:31 CET
+update=Fr 28 Dez 2012 02:24:36 CET
version=1
-last_client=kicad
+last_client=pcbnew
[cvpcb]
version=1
NetIExt=net
[cvpcb/libraries]
EquName1=devcms
-[pcbnew]
-version=1
-PadDrlX=320
-PadDimH=600
-PadDimV=600
-BoardThickness=630
-TxtPcbV=800
-TxtPcbH=600
-TxtModV=600
-TxtModH=600
-TxtModW=120
-VEgarde=100
-DrawLar=150
-EdgeLar=150
-TxtLar=120
-MSegLar=150
-LastNetListRead=
-[pcbnew/libraries]
-LibDir=
-LibName1=sockets
-LibName2=connect
-LibName3=discret
-LibName4=pin_array
-LibName5=divers
-LibName6=libcms
-LibName7=display
-LibName8=led
-LibName9=dip_sockets
-LibName10=pga_sockets
-LibName11=valves
[eeschema]
version=1
LibDir=../../contrib/kicad-libs
@@ -101,3 +71,34 @@ LibName30=valves
LibName31=mur-sat
[general]
version=1
+[pcbnew]
+version=1
+PadDrlX=320
+PadDimH=600
+PadDimV=600
+BoardThickness=630
+TxtPcbV=800
+TxtPcbH=600
+TxtModV=600
+TxtModH=600
+TxtModW=120
+VEgarde=100
+DrawLar=150
+EdgeLar=150
+TxtLar=120
+MSegLar=150
+LastNetListRead=
+[pcbnew/libraries]
+LibDir=../../contrib/kicad-libs
+LibName1=sockets
+LibName2=connect
+LibName3=discret
+LibName4=pin_array
+LibName5=divers
+LibName6=libcms
+LibName7=display
+LibName8=led
+LibName9=dip_sockets
+LibName10=pga_sockets
+LibName11=valves
+LibName12=mur-sat
diff --git a/hardware/rda1846dongle/rda1846dongle.sch b/hardware/rda1846dongle/rda1846dongle.sch
index a8fb830..ff311fe 100644
--- a/hardware/rda1846dongle/rda1846dongle.sch
+++ b/hardware/rda1846dongle/rda1846dongle.sch
@@ -1,4 +1,4 @@
-EESchema Schematic File Version 2 date Fr 21 Dez 2012 03:09:49 CET
+EESchema Schematic File Version 2 date Fr 28 Dez 2012 02:33:59 CET
LIBS:power
LIBS:device
LIBS:transistors
@@ -37,7 +37,7 @@ $Descr A4 11700 8267
encoding utf-8
Sheet 1 1
Title "HHD70 Dongle"
-Date "21 dec 2012"
+Date "28 dec 2012"
Rev "2"
Comp "mur.sat - A Space Art Project"
Comment1 ""
@@ -731,10 +731,10 @@ F 1 "VCC" H 2250 6350 30 0000 C CNN
0 -1 -1 0
$EndComp
$Comp
-L CONN_10 P6
+L CONN_10 P3
U 1 1 50D3A0C5
P 2650 6700
-F 0 "P6" V 2600 6700 60 0000 C CNN
+F 0 "P3" V 2600 6700 60 0000 C CNN
F 1 "PD" V 2700 6700 60 0000 C CNN
1 2650 6700
1 0 0 -1
@@ -772,10 +772,10 @@ $EndComp
Text Label 8400 2500 2 60 ~ 0
CRX_INT
$Comp
-L CONN_8 P10
+L CONN_8 P9
U 1 1 50D39E68
P 9200 2050
-F 0 "P10" V 9150 2050 60 0000 C CNN
+F 0 "P9" V 9150 2050 60 0000 C CNN
F 1 "CRX_GPIO" V 9250 2050 60 0000 C CNN
1 9200 2050
0 -1 -1 0
@@ -803,37 +803,37 @@ F 1 "GND" H 7150 3480 30 0001 C CNN
-1 0 0 1
$EndComp
$Comp
-L C C18
+L C C20
U 1 1 50D39D8A
P 7350 4100
-F 0 "C18" H 7400 4200 50 0000 L CNN
+F 0 "C20" H 7400 4200 50 0000 L CNN
F 1 "47µF" V 7450 3850 50 0000 L CNN
1 7350 4100
0 -1 -1 0
$EndComp
$Comp
-L C C12
+L C C19
U 1 1 50D39D7A
P 7350 3800
-F 0 "C12" H 7400 3900 50 0000 L CNN
+F 0 "C19" H 7400 3900 50 0000 L CNN
F 1 "47µF" V 7450 3550 50 0000 L CNN
1 7350 3800
0 -1 -1 0
$EndComp
$Comp
-L CONN_2 P9
+L CONN_2 P7
U 1 1 50D39D6E
P 6750 4200
-F 0 "P9" V 6700 4200 40 0000 C CNN
+F 0 "P7" V 6700 4200 40 0000 C CNN
F 1 "CRX_SPK" V 6800 4200 40 0000 C CNN
1 6750 4200
-1 0 0 1
$EndComp
$Comp
-L CONN_2 P8
+L CONN_2 P6
U 1 1 50D39D67
P 6750 3700
-F 0 "P8" V 6700 3700 40 0000 C CNN
+F 0 "P6" V 6700 3700 40 0000 C CNN
F 1 "CRX_MIC" V 6800 3700 40 0000 C CNN
1 6750 3700
-1 0 0 1
@@ -911,28 +911,28 @@ F 1 "GND" H 7550 5230 30 0001 C CNN
1 0 0 -1
$EndComp
$Comp
-L C C10
+L C C15
U 1 1 50D39C99
P 6550 5050
-F 0 "C10" H 6600 5150 50 0000 L CNN
+F 0 "C15" H 6600 5150 50 0000 L CNN
F 1 "22pF" V 6650 4800 50 0000 L CNN
1 6550 5050
1 0 0 -1
$EndComp
$Comp
-L C C11
+L C C18
U 1 1 50D39C8D
P 7250 5050
-F 0 "C11" H 7300 5150 50 0000 L CNN
+F 0 "C18" H 7300 5150 50 0000 L CNN
F 1 "22pF" V 7350 4800 50 0000 L CNN
1 7250 5050
1 0 0 -1
$EndComp
$Comp
-L C C19
+L C C21
U 1 1 50D39C7F
P 7550 5050
-F 0 "C19" H 7600 5150 50 0000 L CNN
+F 0 "C21" H 7600 5150 50 0000 L CNN
F 1 "47µF" H 7600 4950 50 0000 L CNN
1 7550 5050
1 0 0 -1
@@ -1100,19 +1100,19 @@ F 1 "1nF" V 9050 6250 50 0000 L CNN
1 0 0 -1
$EndComp
$Comp
-L C C22
+L C C26
U 1 1 50D39B4C
P 8200 6450
-F 0 "C22" H 8250 6550 50 0000 L CNN
+F 0 "C26" H 8250 6550 50 0000 L CNN
F 1 "1nF" V 8300 6250 50 0000 L CNN
1 8200 6450
1 0 0 -1
$EndComp
$Comp
-L C C26
+L C C28
U 1 1 50D39B4B
P 8450 6450
-F 0 "C26" H 8500 6550 50 0000 L CNN
+F 0 "C28" H 8500 6550 50 0000 L CNN
F 1 "100nF" V 8550 6150 50 0000 L CNN
1 8450 6450
1 0 0 -1
@@ -1145,37 +1145,37 @@ F 1 "GND" H 8800 5380 30 0001 C CNN
1 0 0 -1
$EndComp
$Comp
-L C C25
+L C C27
U 1 1 50D39AED
P 8450 5800
-F 0 "C25" H 8500 5900 50 0000 L CNN
+F 0 "C27" H 8500 5900 50 0000 L CNN
F 1 "1nF" V 8550 5600 50 0000 L CNN
1 8450 5800
1 0 0 -1
$EndComp
$Comp
-L C C27
+L C C29
U 1 1 50D39AEC
P 8700 5800
-F 0 "C27" H 8750 5900 50 0000 L CNN
+F 0 "C29" H 8750 5900 50 0000 L CNN
F 1 "100nF" V 8800 5500 50 0000 L CNN
1 8700 5800
1 0 0 -1
$EndComp
$Comp
-L C C21
+L C C25
U 1 1 50D39A7A
P 8150 5800
-F 0 "C21" H 8200 5900 50 0000 L CNN
+F 0 "C25" H 8200 5900 50 0000 L CNN
F 1 "100nF" V 8250 5500 50 0000 L CNN
1 8150 5800
1 0 0 -1
$EndComp
$Comp
-L C C20
+L C C23
U 1 1 50D39A73
P 7900 5800
-F 0 "C20" H 7950 5900 50 0000 L CNN
+F 0 "C23" H 7950 5900 50 0000 L CNN
F 1 "1nF" V 8000 5600 50 0000 L CNN
1 7900 5800
1 0 0 -1
@@ -1200,15 +1200,15 @@ L R R11
U 1 1 50D39A23
P 10150 3450
F 0 "R11" V 10230 3450 50 0000 C CNN
-F 1 "R" V 10150 3450 50 0000 C CNN
+F 1 "50R" V 10150 3450 50 0000 C CNN
1 10150 3450
1 0 0 -1
$EndComp
$Comp
-L RDA1846 U2
+L RDA1846 U3
U 1 1 50D39A02
P 8800 4100
-F 0 "U2" H 8800 4150 60 0000 C CNN
+F 0 "U3" H 8800 4150 60 0000 C CNN
F 1 "RDA1846" H 8800 4050 60 0000 C CNN
1 8800 4100
1 0 0 -1
@@ -1227,10 +1227,10 @@ CRX_EN
Text Label 4600 6350 0 60 ~ 0
CRX_EN
$Comp
-L CONN_6 P7
+L CONN_6 P5
U 1 1 50D396AE
P 4150 6500
-F 0 "P7" V 4100 6500 60 0000 C CNN
+F 0 "P5" V 4100 6500 60 0000 C CNN
F 1 "PIC" V 4200 6500 60 0000 C CNN
1 4150 6500
-1 0 0 1
@@ -1312,19 +1312,19 @@ F 1 "VCC" H 6100 6850 30 0000 C CNN
0 1 1 0
$EndComp
$Comp
-L R R3
+L R R7
U 1 1 50D39181
P 5300 7050
-F 0 "R3" V 5380 7050 50 0000 C CNN
+F 0 "R7" V 5380 7050 50 0000 C CNN
F 1 "2k2" V 5300 7050 50 0000 C CNN
1 5300 7050
1 0 0 -1
$EndComp
$Comp
-L R R1
+L R R6
U 1 1 50D3917C
P 5300 6450
-F 0 "R1" V 5380 6450 50 0000 C CNN
+F 0 "R6" V 5380 6450 50 0000 C CNN
F 1 "2k2" V 5300 6450 50 0000 C CNN
1 5300 6450
1 0 0 -1
@@ -1412,10 +1412,10 @@ F 1 "GND" H 9600 1280 30 0001 C CNN
1 0 0 -1
$EndComp
$Comp
-L CONN_2X2 P3
+L CONN_2X2 P10
U 1 1 502BE331
P 10050 1250
-F 0 "P3" H 10050 1250 50 0000 C CNN
+F 0 "P10" H 10050 1250 50 0000 C CNN
F 1 "PA_PWR" H 10060 1120 40 0000 C CNN
1 10050 1250
1 0 0 -1
@@ -1437,10 +1437,10 @@ PF6
Text Label 2950 7050 0 60 ~ 0
PF7
$Comp
-L FILTER FB1
+L FILTER FB2
U 1 1 4FE4E159
P 7300 1200
-F 0 "FB1" H 7300 1100 60 0000 C CNN
+F 0 "FB2" H 7300 1100 60 0000 C CNN
F 1 "600R@100Mhz" H 7300 1350 60 0000 C CNN
1 7300 1200
1 0 0 -1
@@ -1464,19 +1464,19 @@ F 1 "GND" H 8000 1880 30 0001 C CNN
1 0 0 -1
$EndComp
$Comp
-L CP1 C9
+L CP1 C24
U 1 1 4FBBEF93
P 8000 1500
-F 0 "C9" H 8050 1400 50 0000 L CNN
+F 0 "C24" H 8050 1400 50 0000 L CNN
F 1 "DNP" V 8050 1650 50 0000 L CNN
1 8000 1500
1 0 0 -1
$EndComp
$Comp
-L C C8
+L C C22
U 1 1 4FBBEF92
P 7700 1500
-F 0 "C8" H 7750 1400 50 0000 L CNN
+F 0 "C22" H 7750 1400 50 0000 L CNN
F 1 "47nF" V 7750 1650 50 0000 L CNN
1 7700 1500
1 0 0 -1
@@ -1486,10 +1486,10 @@ USB_GND
Text Label 1350 1300 2 60 ~ 0
USB_GND
$Comp
-L CONN_2X2 P1
+L CONN_2X2 P2
U 1 1 4FBACB8B
P 1800 1250
-F 0 "P1" H 1800 1250 50 0000 C CNN
+F 0 "P2" H 1800 1250 50 0000 C CNN
F 1 "USB_PWR" H 1810 1120 40 0000 C CNN
1 1800 1250
1 0 0 -1
@@ -1504,10 +1504,10 @@ F 1 "GND" H 9150 1280 30 0001 C CNN
1 0 0 -1
$EndComp
$Comp
-L CONN_2X2 P2
+L CONN_2X2 P8
U 1 1 4FBACB4B
P 8700 1250
-F 0 "P2" H 8700 1250 50 0000 C CNN
+F 0 "P8" H 8700 1250 50 0000 C CNN
F 1 "3V_PWR" H 8710 1120 40 0000 C CNN
1 8700 1250
-1 0 0 -1
@@ -1540,28 +1540,28 @@ F 1 "GND" H 5050 1880 30 0001 C CNN
1 0 0 -1
$EndComp
$Comp
-L LT1962 U1
+L LT1962 U2
U 1 1 4FBACA6E
P 5200 1450
-F 0 "U1" H 5200 1700 60 0000 C CNN
+F 0 "U2" H 5200 1700 60 0000 C CNN
F 1 "LT1962-3.3" H 5200 1850 60 0000 C CNN
1 5200 1450
1 0 0 -1
$EndComp
$Comp
-L C C6
+L C C16
U 1 1 4FBACA35
P 6600 1500
-F 0 "C6" H 6650 1400 50 0000 L CNN
+F 0 "C16" H 6650 1400 50 0000 L CNN
F 1 "47nF" V 6650 1650 50 0000 L CNN
1 6600 1500
1 0 0 -1
$EndComp
$Comp
-L CP1 C7
+L CP1 C17
U 1 1 4FBACA34
P 6900 1500
-F 0 "C7" H 6950 1400 50 0000 L CNN
+F 0 "C17" H 6950 1400 50 0000 L CNN
F 1 "10µF" V 6950 1650 50 0000 L CNN
1 6900 1500
1 0 0 -1
@@ -1614,19 +1614,19 @@ F 1 "GND" H 1200 7080 30 0001 C CNN
0 1 1 0
$EndComp
$Comp
-L CONN_10 P5
+L CONN_10 P4
U 1 1 4F74FDFB
P 3700 6700
-F 0 "P5" V 3650 6700 60 0000 C CNN
+F 0 "P4" V 3650 6700 60 0000 C CNN
F 1 "PC/PF" V 3750 6700 60 0000 C CNN
1 3700 6700
1 0 0 -1
$EndComp
$Comp
-L CONN_10 P4
+L CONN_10 P1
U 1 1 4F74FDE2
P 1600 6700
-F 0 "P4" V 1550 6700 60 0000 C CNN
+F 0 "P1" V 1550 6700 60 0000 C CNN
F 1 "PB" V 1650 6700 60 0000 C CNN
1 1600 6700
1 0 0 -1
@@ -1669,10 +1669,10 @@ F 1 "GND" H 4150 1880 30 0001 C CNN
1 0 0 -1
$EndComp
$Comp
-L FILTER FB2
+L FILTER FB1
U 1 1 4F74BA76
P 3450 1850
-F 0 "FB2" H 3450 2000 60 0000 C CNN
+F 0 "FB1" H 3450 2000 60 0000 C CNN
F 1 "0,47µH" H 3450 1750 60 0000 C CNN
1 3450 1850
1 0 0 -1
@@ -1682,10 +1682,10 @@ USB_GND
Text Label 750 3950 1 60 ~ 0
USB_GND
$Comp
-L C C23
+L C C1
U 1 1 4F74B8DC
P 900 4450
-F 0 "C23" H 950 4550 50 0000 L CNN
+F 0 "C1" H 950 4550 50 0000 L CNN
F 1 "22nF/200V" H 950 4350 50 0000 L CNN
1 900 4450
1 0 0 -1
@@ -1754,10 +1754,10 @@ F 1 "GND" H 3700 3630 30 0001 C CNN
1 0 0 -1
$EndComp
$Comp
-L CP1 C17
+L CP1 C10
U 1 1 4F722A25
P 3700 3450
-F 0 "C17" V 3750 3550 50 0000 L CNN
+F 0 "C10" V 3750 3550 50 0000 L CNN
F 1 "1µF" V 3750 3300 50 0000 L CNN
1 3700 3450
1 0 0 -1
@@ -1772,10 +1772,10 @@ F 1 "GND" H 900 4680 30 0001 C CNN
1 0 0 -1
$EndComp
$Comp
-L C C13
+L C C3
U 1 1 4F722923
P 2500 3350
-F 0 "C13" V 2550 3450 50 0000 L CNN
+F 0 "C3" V 2550 3450 50 0000 L CNN
F 1 "100nF" V 2600 3150 50 0000 L CNN
1 2500 3350
1 0 0 -1
@@ -1891,10 +1891,10 @@ F 1 "LED" H 2800 5250 50 0000 C CNN
0 1 1 0
$EndComp
$Comp
-L R R7
+L R R5
U 1 1 4F722600
P 2800 4850
-F 0 "R7" V 2880 4850 50 0000 C CNN
+F 0 "R5" V 2880 4850 50 0000 C CNN
F 1 "330R" V 2800 4850 50 0000 C CNN
1 2800 4850
1 0 0 -1
@@ -1918,10 +1918,10 @@ F 1 "GND" H 1600 5530 30 0001 C CNN
1 0 0 -1
$EndComp
$Comp
-L R R5
+L R R1
U 1 1 4F7225D8
P 1600 4850
-F 0 "R5" V 1680 4850 50 0000 C CNN
+F 0 "R1" V 1680 4850 50 0000 C CNN
F 1 "330R" V 1600 4850 50 0000 C CNN
1 1600 4850
1 0 0 -1
@@ -1954,73 +1954,73 @@ F 1 "VCC" H 2500 3150 30 0000 C CNN
1 0 0 -1
$EndComp
$Comp
-L C C29
+L C C12
U 1 1 4F72253B
P 3850 5350
-F 0 "C29" V 3900 5450 50 0000 L CNN
+F 0 "C12" V 3900 5450 50 0000 L CNN
F 1 "10pF" V 3900 5150 50 0000 L CNN
1 3850 5350
1 0 0 -1
$EndComp
$Comp
-L C C28
+L C C8
U 1 1 4F722526
P 3150 5350
-F 0 "C28" V 3200 5450 50 0000 L CNN
+F 0 "C8" V 3200 5450 50 0000 L CNN
F 1 "10pF" V 3200 5150 50 0000 L CNN
1 3150 5350
1 0 0 -1
$EndComp
$Comp
-L R R4
+L R R3
U 1 1 4F722502
P 2350 3950
-F 0 "R4" V 2430 3950 50 0000 C CNN
+F 0 "R3" V 2430 3950 50 0000 C CNN
F 1 "22R" V 2350 3950 50 0000 C CNN
1 2350 3950
0 1 1 0
$EndComp
$Comp
-L C C15
+L C C6
U 1 1 4F7224FF
P 3000 3350
-F 0 "C15" V 3050 3450 50 0000 L CNN
+F 0 "C6" V 3050 3450 50 0000 L CNN
F 1 "100nF" V 3100 3150 50 0000 L CNN
1 3000 3350
1 0 0 -1
$EndComp
$Comp
-L C C16
+L C C9
U 1 1 4F7224FE
P 3250 3350
-F 0 "C16" V 3300 3450 50 0000 L CNN
+F 0 "C9" V 3300 3450 50 0000 L CNN
F 1 "100nF" V 3350 3150 50 0000 L CNN
1 3250 3350
1 0 0 -1
$EndComp
$Comp
-L C C14
+L C C5
U 1 1 4F7224F8
P 2750 3350
-F 0 "C14" V 2800 3450 50 0000 L CNN
+F 0 "C5" V 2800 3450 50 0000 L CNN
F 1 "100nF" V 2850 3150 50 0000 L CNN
1 2750 3350
1 0 0 -1
$EndComp
$Comp
-L C C24
+L C C2
U 1 1 4F7224B7
P 2250 4900
-F 0 "C24" V 2300 5000 50 0000 L CNN
+F 0 "C2" V 2300 5000 50 0000 L CNN
F 1 "100nF" V 2300 4700 50 0000 L CNN
1 2250 4900
1 0 0 -1
$EndComp
$Comp
-L R R6
+L R R4
U 1 1 4F7224B3
P 2600 4850
-F 0 "R6" V 2680 4850 50 0000 C CNN
+F 0 "R4" V 2680 4850 50 0000 C CNN
F 1 "1K0" V 2600 4850 50 0000 C CNN
1 2600 4850
1 0 0 -1
@@ -2035,10 +2035,10 @@ F 1 "USB" V 1100 3750 60 0000 C CNN
1 0 0 -1
$EndComp
$Comp
-L ATMEGA32U4 U5
+L ATMEGA32U4 U1
U 1 1 4F72240E
P 5000 4200
-F 0 "U5" H 5000 4300 60 0000 C CNN
+F 0 "U1" H 5000 4300 60 0000 C CNN
F 1 "ATMEGA32U4" H 5000 4150 60 0000 C CNN
1 5000 4200
1 0 0 -1
@@ -2053,46 +2053,46 @@ F 1 "VCC" H 9150 1250 30 0000 C CNN
1 0 0 -1
$EndComp
$Comp
-L C C1
+L C C14
U 1 1 4F5A8BD6
P 6000 1600
-F 0 "C1" H 6050 1500 50 0000 L CNN
+F 0 "C14" H 6050 1500 50 0000 L CNN
F 1 "10nF" H 6050 1700 50 0000 L CNN
1 6000 1600
0 -1 -1 0
$EndComp
$Comp
-L CP1 C2
+L CP1 C4
U 1 1 4F5A8BD4
P 2750 1500
-F 0 "C2" H 2800 1600 50 0000 L CNN
+F 0 "C4" H 2800 1600 50 0000 L CNN
F 1 "4,7µF" H 2800 1400 50 0000 L CNN
1 2750 1500
1 0 0 -1
$EndComp
$Comp
-L CP1 C5
+L CP1 C13
U 1 1 4F5A8BD3
P 4150 1500
-F 0 "C5" H 4200 1600 50 0000 L CNN
+F 0 "C13" H 4200 1600 50 0000 L CNN
F 1 "4,7µF" H 4200 1400 50 0000 L CNN
1 4150 1500
1 0 0 -1
$EndComp
$Comp
-L C C3
+L C C7
U 1 1 4F5A8BC1
P 3050 1500
-F 0 "C3" H 3100 1400 50 0000 L CNN
+F 0 "C7" H 3100 1400 50 0000 L CNN
F 1 "10nF" V 3100 1600 50 0000 L CNN
1 3050 1500
1 0 0 -1
$EndComp
$Comp
-L C C4
+L C C11
U 1 1 4F5A8BC0
P 3850 1500
-F 0 "C4" H 3900 1400 50 0000 L CNN
+F 0 "C11" H 3900 1400 50 0000 L CNN
F 1 "10nF" V 3900 1600 50 0000 L CNN
1 3850 1500
1 0 0 -1