summaryrefslogtreecommitdiff
path: root/doc
diff options
context:
space:
mode:
authorRoland Sahlsten <Roland.Sahlsten.ASE10@fh-joanneum.at>2012-05-28 08:19:12 +0000
committerRoland Sahlsten <Roland.Sahlsten.ASE10@fh-joanneum.at>2012-05-28 08:19:12 +0000
commitd88f92c42e1fbfecc93a4446243ad4310153aa59 (patch)
tree90dbe454cf3d35c19bb5a94b33f747e891d5c9fb /doc
parentgit-svn-id: https://svn.spreadspace.org/mur.sat@484 7de4ea59-55d0-425e-a1af-a... (diff)
git-svn-id: https://svn.spreadspace.org/mur.sat@485 7de4ea59-55d0-425e-a1af-a3118ea81d4c
Diffstat (limited to 'doc')
-rw-r--r--doc/MPU/MPU.synctex7016
1 files changed, 0 insertions, 7016 deletions
diff --git a/doc/MPU/MPU.synctex b/doc/MPU/MPU.synctex
deleted file mode 100644
index d07c791..0000000
--- a/doc/MPU/MPU.synctex
+++ /dev/null
@@ -1,7016 +0,0 @@
-SyncTeX Version:1
-Input:1:D:\Data\FH\8.Semester\MurSat\mur.sat\doc\MPU\MPU.tex
-Input:2:D:\Data\FH\8.Semester\MurSat\mur.sat\doc\MPU\inputs/header.tex
-Input:3:D:\Programs\MiKTeX 2.9\tex\latex\base\article.cls
-Input:4:D:\Programs\MiKTeX 2.9\tex\latex\base\size12.clo
-Input:5:D:\Programs\MiKTeX 2.9\tex\latex\geometry\geometry.sty
-Input:6:D:\Programs\MiKTeX 2.9\tex\latex\graphics\keyval.sty
-Input:7:D:\Programs\MiKTeX 2.9\tex\generic\oberdiek\ifpdf.sty
-Input:8:D:\Programs\MiKTeX 2.9\tex\generic\oberdiek\ifvtex.sty
-Input:9:D:\Programs\MiKTeX 2.9\tex\generic\ifxetex\ifxetex.sty
-Input:10:D:\Programs\MiKTeX 2.9\tex\latex\geometry\geometry.cfg
-Input:11:D:\Programs\MiKTeX 2.9\tex\latex\preprint\balance.sty
-Input:12:D:\Programs\MiKTeX 2.9\tex\latex\psnfss\times.sty
-Input:13:D:\Programs\MiKTeX 2.9\tex\latex\base\inputenc.sty
-Input:14:D:\Programs\MiKTeX 2.9\tex\latex\base\utf8.def
-Input:15:D:\Programs\MiKTeX 2.9\tex\latex\base\t1enc.dfu
-Input:16:D:\Programs\MiKTeX 2.9\tex\latex\base\ot1enc.dfu
-Input:17:D:\Programs\MiKTeX 2.9\tex\latex\base\omsenc.dfu
-Input:18:D:\Programs\MiKTeX 2.9\tex\latex\base\textcomp.sty
-Input:19:D:\Programs\MiKTeX 2.9\tex\latex\base\ts1enc.def
-Input:20:D:\Programs\MiKTeX 2.9\tex\latex\base\ts1enc.dfu
-Input:21:D:\Programs\MiKTeX 2.9\tex\latex\titlesec\titlesec.sty
-Input:22:D:\Programs\MiKTeX 2.9\tex\latex\caption\caption.sty
-Input:23:D:\Programs\MiKTeX 2.9\tex\latex\caption\caption3.sty
-Input:24:D:\Programs\MiKTeX 2.9\tex\latex\subfig\subfig.sty
-Input:25:D:\Programs\MiKTeX 2.9\tex\latex\ltxmisc\relsize.sty
-Input:26:D:\Programs\MiKTeX 2.9\tex\latex\tools\tabularx.sty
-Input:27:D:\Programs\MiKTeX 2.9\tex\latex\tools\array.sty
-Input:28:D:\Programs\MiKTeX 2.9\tex\latex\listings\listings.sty
-Input:29:D:\Programs\MiKTeX 2.9\tex\latex\listings\lstmisc.sty
-Input:30:D:\Programs\MiKTeX 2.9\tex\latex\listings\listings.cfg
-Input:31:D:\Programs\MiKTeX 2.9\tex\latex\listings\lstlang1.sty
-Input:32:D:\Programs\MiKTeX 2.9\tex\latex\listings\lstlang2.sty
-Input:33:D:\Programs\MiKTeX 2.9\tex\latex\ltxmisc\url.sty
-Input:34:D:\Programs\MiKTeX 2.9\tex\latex\graphics\color.sty
-Input:35:D:\Programs\MiKTeX 2.9\tex\latex\00miktex\color.cfg
-Input:36:D:\Programs\MiKTeX 2.9\tex\latex\pdftex-def\pdftex.def
-Input:37:D:\Programs\MiKTeX 2.9\tex\generic\oberdiek\infwarerr.sty
-Input:38:D:\Programs\MiKTeX 2.9\tex\generic\oberdiek\ltxcmds.sty
-Input:39:D:\Programs\MiKTeX 2.9\tex\latex\graphics\graphicx.sty
-Input:40:D:\Programs\MiKTeX 2.9\tex\latex\graphics\graphics.sty
-Input:41:D:\Programs\MiKTeX 2.9\tex\latex\graphics\trig.sty
-Input:42:D:\Programs\MiKTeX 2.9\tex\latex\00miktex\graphics.cfg
-Input:43:D:\Programs\MiKTeX 2.9\tex\latex\hyperref\hyperref.sty
-Input:44:D:\Programs\MiKTeX 2.9\tex\generic\oberdiek\hobsub-hyperref.sty
-Input:45:D:\Programs\MiKTeX 2.9\tex\generic\oberdiek\hobsub-generic.sty
-Input:46:D:\Programs\MiKTeX 2.9\tex\latex\oberdiek\kvoptions.sty
-Input:47:D:\Programs\MiKTeX 2.9\tex\latex\hyperref\pd1enc.def
-Input:48:D:\Programs\MiKTeX 2.9\tex\latex\00miktex\hyperref.cfg
-Input:49:D:\Programs\MiKTeX 2.9\tex\latex\hyperref\hpdftex.def
-Input:50:D:\Programs\MiKTeX 2.9\tex\latex\oberdiek\rerunfilecheck.sty
-Input:51:D:\Data\FH\8.Semester\MurSat\mur.sat\doc\MPU\MPU.aux
-Input:52:D:\Programs\MiKTeX 2.9\tex\latex\base\ts1cmr.fd
-Input:53:D:\Programs\MiKTeX 2.9\tex\latex\psnfss\ot1ptm.fd
-Input:54:D:\Programs\MiKTeX 2.9\tex\context\base\supp-pdf.mkii
-Input:55:D:\Programs\MiKTeX 2.9\tex\latex\hyperref\nameref.sty
-Input:56:D:\Programs\MiKTeX 2.9\tex\generic\oberdiek\gettitlestring.sty
-Input:57:D:\Data\FH\8.Semester\MurSat\mur.sat\doc\MPU\MPU.out
-Input:58:D:\Data\FH\8.Semester\MurSat\mur.sat\doc\MPU\MPU.out
-Input:59:D:\Data\FH\8.Semester\MurSat\mur.sat\doc\MPU\inputs/firstpage.tex
-Input:60:D:\Programs\MiKTeX 2.9\tex\latex\psnfss\ot1phv.fd
-Input:61:D:\Programs\MiKTeX 2.9\tex\latex\psnfss\ot1pcr.fd
-Output:pdf
-Magnification:1000
-Unit:1
-X Offset:0
-Y Offset:0
-Content:
-!3899
-{1
-[59,28:4736286,53889247:31624971,49152961,0
-v59,28:4736286,4736286:0,0,0
-[59,28:4736286,53889247:31624971,49152961,0
-[59,28:4736286,4736286:0,0,0
-(59,28:4736286,680090:0,0,0
-k59,28:4736286,680090:2005048
-)
-]
-[59,28:4736286,53889247:31624971,49152961,0
-[59,28:2797019,53889247:33564238,53143376,0
-[59,28:2797019,2610550:33564238,1864679,0
-(59,28:2797019,2610550:33564238,0,0
-h59,28:2797019,2610550:33564238,0,0
-)
-]
-[59,28:2797019,51092228:33564238,45684659,0
-h59,26:2797019,6194001:0,0,0
-(59,26:2797019,9405265:33564238,907955,19015
-k59,26:18106440,9405265:15309421
-(59,26:18106440,9405265:0,0,0
-g59,26:18106440,9405265
-g59,26:18106440,9405265
-g59,26:17721420,9405265
-(59,26:17721420,9405265:0,0,0
-)
-g59,26:18106440,9405265
-)
-x59,26:21051836,9405265
-g59,26:21051836,9405265
-k59,26:36361257,9405265:15309421
-)
-(59,26:2797019,13840684:33564238,991547,294939
-k59,26:12681867,13840684:9884848
-h59,26:12681867,13840684:0,0,0
-x59,26:15778134,13840684
-g59,26:16155983,13840684
-x59,26:17591292,13840684
-x59,26:23456267,13840684
-g59,26:23834116,13840684
-x59,26:26476409,13840684
-g59,26:26476409,13840684
-k59,26:36361257,13840684:9884848
-)
-(59,26:2797019,15479084:33564238,991547,13580
-k59,26:18937591,15479084:16140572
-h59,26:18937591,15479084:0,0,0
-x59,26:20220685,15479084
-g59,26:20220685,15479084
-k59,26:36361257,15479084:16140572
-)
-(59,26:2797019,17117484:33564238,1007176,25136
-k59,26:16846451,17117484:14049432
-h59,26:16846451,17117484:0,0,0
-x59,26:19337875,17117484
-g59,26:19715724,17117484
-x59,26:21603661,17117484
-x59,26:22311825,17117484
-g59,26:22311825,17117484
-k59,26:36361257,17117484:14049432
-)
-(59,26:2797019,19476780:33564238,825750,3892842
-k59,26:11419260,19476780:8622241
-h59,26:11419260,19476780:0,0,0
-(59,26:11419260,19476780:16319756,825750,3892842
-$59,26:11419260,19476780
-[59,26:11419260,19476780:16319756,825750,3892842
-(59,26:11419260,19476780:16319756,825750,353898
-g59,26:11419260,19476780
-(59,26:11419260,19476780:16319756,825750,353898
-r59,28:11419260,19476780:0,1179648,353898
-g59,26:11812476,19476780
-k59,26:16288430,19476780:4475954
-x59,26:19225255,19476780
-g59,26:19461184,19476780
-x59,26:22869846,19476780
-k59,26:27345800,19476780:4475954
-g59,26:27739016,19476780
-)
-g59,26:27739016,19476780
-)
-(59,26:11419260,20656428:16319756,825750,353898
-g59,26:11419260,20656428
-(59,26:11419260,20656428:16319756,825750,353898
-r59,28:11419260,20656428:0,1179648,353898
-g59,26:11812476,20656428
-k59,26:16829791,20656428:5017315
-x59,26:17834843,20656428
-g59,26:18031451,20656428
-x59,26:18905166,20656428
-x59,26:22328485,20656428
-k59,26:27345800,20656428:5017315
-g59,26:27739016,20656428
-)
-g59,26:27739016,20656428
-)
-(59,26:11419260,21836076:16319756,825750,353898
-g59,26:11419260,21836076
-(59,26:11419260,21836076:16319756,825750,353898
-r59,28:11419260,21836076:0,1179648,353898
-g59,26:11812476,21836076
-k59,26:16376027,21836076:4563551
-x59,26:19694747,21836076
-x59,26:20270420,21836076
-g59,26:20467028,21836076
-x59,26:22782249,21836076
-k59,26:27345800,21836076:4563551
-g59,26:27739016,21836076
-)
-g59,26:27739016,21836076
-)
-(59,26:11419260,23015724:16319756,825750,353898
-g59,26:11419260,23015724
-(59,26:11419260,23015724:16319756,825750,353898
-r59,28:11419260,23015724:0,1179648,353898
-g59,26:11812476,23015724
-g59,26:11812476,23015724
-$59,26:11812476,23015724
-x59,26:14395902,23015724
-g59,26:14395902,23015724
-x59,26:14826473,23015724
-g59,26:14826473,23015724
-x59,26:18271041,23015724
-g59,26:18271041,23015724
-x59,26:18701612,23015724
-g59,26:18701612,23015724
-x59,26:20854467,23015724
-g59,26:20854467,23015724
-x59,26:21285038,23015724
-g59,26:21285038,23015724
-x59,26:22146180,23015724
-g59,26:22146180,23015724
-x59,26:22576751,23015724
-(59,26:22576751,23123366:32768,0,0
-)
-g59,26:22609519,23015724
-x59,26:26054087,23015724
-g59,26:26054087,23015724
-x59,26:26484658,23015724
-g59,26:26484658,23015724
-x59,26:27345800,23015724
-$59,26:27345800,23015724
-g59,26:27345800,23015724
-g59,26:27739016,23015724
-)
-g59,26:27739016,23015724
-)
-]
-$59,26:27739016,19476780
-)
-g59,26:27739016,19476780
-k59,26:36361257,19476780:8622241
-)
-]
-(59,28:2797019,53889247:33564238,0,0
-h59,28:2797019,53889247:33564238,0,0
-)
-]
-]
-]
-]
-!4121
-}1
-Input:62:D:\Data\FH\8.Semester\MurSat\mur.sat\doc\MPU\inputs/Introduction.tex
-Input:63:D:\Data\FH\8.Semester\MurSat\mur.sat\doc\MPU\inputs/RelatedWork.tex
-!164
-{2
-(63,59:4736286,53889247:31624971,49152961,0
-[63,59:4736286,53889247:31624971,49152961,0
-[63,59:4736286,4736286:0,0,0
-(63,59:4736286,680090:0,0,0
-k63,59:4736286,680090:2005048
-)
-]
-[63,59:4736286,53889247:31624971,49152961,0
-[63,59:2797019,53889247:33564238,53143376,0
-[63,59:2797019,2610550:33564238,1864679,0
-(63,59:2797019,2610550:33564238,0,0
-h63,59:2797019,2610550:33564238,0,0
-)
-]
-[63,59:2797019,51092228:33564238,45684659,0
-(63,59:2797019,51092228:33564238,45684659,0
-(63,59:2797019,51092228:15989630,45684659,0
-[63,20:2797019,51092228:15989630,45684659,0
-(62,4:2797019,6416819:15989630,1009250,432542
-g62,4:4559123,6416819
-r63,59:4559123,6416819:0,1441792,432542
-(62,4:4559123,6416819:0,1009250,432542
-k62,4:2797019,6416819:-1762104
-(62,4:2797019,6416819:1762104,1009250,432542
-x62,4:3426661,6416819
-g62,4:4559123,6416819
-r63,59:4559123,6416819:0,1441792,432542
-g62,4:4559123,6416819
-)
-)
-x62,4:6383490,6416819
-x62,4:11142071,6416819
-r63,59:11142071,6416819:0,1441792,432542
-k62,4:18786649,6416819:7644578
-g62,4:18786649,6416819
-)
-(1,9:2797019,8304455:15989630,536337,170256
-x1,9:5156286,8304455
-k62,12:5430845,8304455:274559
-x62,12:5955379,8304455
-k62,12:6229938,8304455:274559
-x62,12:6579109,8304455
-k62,12:6853667,8304455:274558
-x62,12:8207892,8304455
-x62,12:10391785,8304455
-k62,12:10666344,8304455:274559
-x62,12:11321439,8304455
-k62,12:11595998,8304455:274559
-x62,12:12338385,8304455
-k62,12:12612944,8304455:274559
-x62,12:13442614,8304455
-k62,12:13717172,8304455:274558
-x62,12:15901065,8304455
-k62,12:16175624,8304455:274559
-x62,12:16787460,8304455
-k62,12:17062019,8304455:274559
-x62,12:18786649,8304455
-k62,12:18786649,8304455:0
-)
-(1,9:2797019,9254727:15989630,536337,170256
-x1,9:4719043,9254727
-k62,12:5055844,9254727:336801
-x62,12:6410048,9254727
-k62,12:6746849,9254727:336801
-x62,12:7358685,9254727
-k62,12:7695486,9254727:336801
-x62,12:8307322,9254727
-x62,12:8680877,9254727
-x62,12:9018259,9254727
-k62,12:9355061,9254727:336802
-x62,12:10098215,9254727
-k62,12:10435016,9254727:336801
-x62,12:11352766,9254727
-x62,12:11571386,9254727
-x62,12:12751015,9254727
-k62,12:13087816,9254727:336801
-x62,12:14747953,9254727
-k62,12:15084754,9254727:336801
-x62,12:15696590,9254727
-x62,12:17226176,9254727
-k62,12:17562977,9254727:336801
-x62,12:18786649,9254727
-k62,12:18786649,9254727:0
-)
-(1,9:2797019,10204999:15989630,536337,170256
-x1,9:3758026,10204999
-k62,12:4022980,10204999:264954
-x62,12:4590781,10204999
-x62,12:5849849,10204999
-k62,12:6114802,10204999:264953
-x62,12:6769897,10204999
-k62,12:7034851,10204999:264954
-x62,12:8345029,10204999
-x62,12:10289076,10204999
-k62,12:10737904,10204999:448828
-x62,12:11960800,10204999
-k62,12:12225754,10204999:264954
-x62,12:14409647,10204999
-k62,12:14674600,10204999:264953
-x62,12:16028804,10204999
-k62,12:16293758,10204999:264954
-x62,12:16905594,10204999
-k62,12:17170547,10204999:264953
-x62,12:18524770,10204999
-x62,12:18786649,10204999
-k1,9:18786649,10204999:0
-)
-(1,9:2797019,11155271:15989630,536337,170256
-x1,9:4151242,11155271
-k62,12:4346155,11155271:194913
-x62,12:5175825,11155271
-k62,12:5370739,11155271:194914
-x62,12:6506342,11155271
-k62,12:6701255,11155271:194913
-x62,12:8011433,11155271
-x62,12:9758872,11155271
-k62,12:9953785,11155271:194913
-x62,12:10565621,11155271
-k62,12:10760535,11155271:194914
-x62,12:11502922,11155271
-k62,12:11697835,11155271:194913
-x62,12:12047006,11155271
-x62,12:12428433,11155271
-x62,12:13040269,11155271
-x62,12:13652105,11155271
-x62,12:14875777,11155271
-k62,12:15070690,11155271:194913
-x62,12:15682526,11155271
-k62,12:15877440,11155271:194914
-x62,12:17602070,11155271
-k62,12:17797322,11155271:195252
-x62,12:18190538,11155271
-x62,12:18786649,11155271
-k62,12:18786649,11155271:0
-)
-(1,9:2797019,12105543:15989630,536337,170256
-x1,9:4063940,12105543
-k62,12:4220230,12105543:156290
-x62,12:6666809,12105543
-k62,12:6823099,12105543:156290
-x62,12:7172270,12105543
-k62,12:7328559,12105543:156289
-x62,12:7721775,12105543
-x62,12:8714252,12105543
-k62,12:8870542,12105543:156290
-x62,12:10574703,12105543
-k62,12:10730993,12105543:156290
-x62,12:12172489,12105543
-x62,12:13374128,12105543
-k62,12:13538481,12105543:164353
-x62,12:14805402,12105543
-k62,12:14961692,12105543:156290
-x62,12:16839661,12105543
-k62,12:16995951,12105543:156290
-x62,12:18786649,12105543
-k1,9:18786649,12105543:0
-)
-(1,9:2797019,13055815:15989630,536337,170256
-x1,9:5243546,13055815
-k62,12:5483325,13055815:239779
-x62,12:6138420,13055815
-k62,12:6378200,13055815:239780
-x62,12:7994302,13055815
-x62,12:9064615,13055815
-k62,12:9315187,13055815:250572
-x62,12:10144857,13055815
-x62,12:10522348,13055815
-x62,12:11078350,13055815
-x62,12:12083402,13055815
-k62,12:12323181,13055815:239779
-x62,12:14507095,13055815
-k62,12:14746875,13055815:239780
-x62,12:16974813,13055815
-k62,12:17214592,13055815:239779
-x62,12:18786649,13055815
-k62,12:18786649,13055815:0
-)
-(1,9:2797019,14006087:15989630,536337,11002
-x1,9:3408855,14006087
-k62,12:3564753,14006087:155898
-x62,12:3913924,14006087
-k62,12:4069822,14006087:155898
-x62,12:4681658,14006087
-x62,12:5229798,14006087
-k62,12:5385696,14006087:155898
-x62,12:5778912,14006087
-x62,12:7067855,14006087
-k62,12:7298077,14006087:230222
-x62,12:8390423,14006087
-x62,12:9657344,14006087
-k62,12:9813242,14006087:155898
-x62,12:10337776,14006087
-k62,12:10493674,14006087:155898
-x62,12:11760595,14006087
-k62,12:11916493,14006087:155898
-x62,12:12877500,14006087
-k62,12:13033398,14006087:155898
-x62,12:14736792,14006087
-k62,12:14892690,14006087:155898
-x62,12:15547785,14006087
-k62,12:15703683,14006087:155898
-x62,12:16840053,14006087
-k62,12:16995951,14006087:155898
-x62,12:18786649,14006087
-k1,9:18786649,14006087:0
-)
-(1,9:2797019,14956359:15989630,536337,170256
-x1,9:5440154,14956359
-k62,12:5770466,14956359:330312
-x62,12:7692490,14956359
-k62,12:7996061,14956359:303571
-x62,12:8520595,14956359
-k62,12:8824166,14956359:303571
-x62,12:9217382,14956359
-x62,12:9566553,14956359
-x62,12:9940108,14956359
-x62,12:10496110,14956359
-x62,12:12024929,14956359
-k62,12:12328500,14956359:303571
-x62,12:13464103,14956359
-k62,12:13767675,14956359:303572
-x62,12:14422760,14956359
-x62,12:15689681,14956359
-x62,12:17043904,14956359
-k62,12:17347475,14956359:303571
-x62,12:18133907,14956359
-k62,12:18437478,14956359:303571
-x62,12:18786649,14956359
-k62,12:18786649,14956359:0
-)
-(1,9:2797019,15906631:15989630,536337,170256
-x1,9:4325817,15906631
-k62,12:4604742,15906631:278925
-x62,12:5259837,15906631
-k62,12:5538762,15906631:278925
-x62,12:6281149,15906631
-x62,12:6892985,15906631
-x62,12:7984543,15906631
-x62,12:9251474,15906631
-k62,12:9530398,15906631:278924
-x62,12:10448148,15906631
-x62,12:12304893,15906631
-k62,12:12795636,15906631:490743
-x62,12:13450731,15906631
-k62,12:13729655,15906631:278924
-x62,12:15694928,15906631
-k62,12:15973853,15906631:278925
-x62,12:17546717,15906631
-k62,12:17825642,15906631:278925
-x62,12:18786649,15906631
-k62,12:18786649,15906631:0
-)
-(1,9:2797019,16856903:15989630,536337,170256
-x1,9:4238515,16856903
-x1,9:5243546,16856903
-k62,12:5432909,16856903:189363
-x62,12:6656570,16856903
-k62,12:6845933,16856903:189363
-x62,12:7588320,16856903
-k62,12:7777683,16856903:189363
-x62,12:10616680,16856903
-k62,12:10806043,16856903:189363
-x62,12:11941646,16856903
-k62,12:12131008,16856903:189362
-x62,12:14577587,16856903
-k62,12:14766950,16856903:189363
-x62,12:15378786,16856903
-k62,12:15568149,16856903:189363
-x62,12:16310536,16856903
-k62,12:16499899,16856903:189363
-x62,12:16893115,16856903
-x62,12:17985450,16856903
-k62,12:18174813,16856903:189363
-x62,12:18786649,16856903
-k62,12:18786649,16856903:0
-)
-(1,9:2797019,17807175:15989630,536337,11002
-x1,9:3146190,17807175
-k62,12:3348832,17807175:202642
-x62,12:4266582,17807175
-x62,12:5925931,17807175
-k62,12:6128572,17807175:202641
-x62,12:6740408,17807175
-k62,12:6943050,17807175:202642
-x62,12:7904057,17807175
-k62,12:8106698,17807175:202641
-x62,12:9984669,17807175
-k62,12:10187311,17807175:202642
-x62,12:10886441,17807175
-x62,12:11410199,17807175
-x62,12:12449849,17807175
-k62,12:12652491,17807175:202642
-x62,12:13307586,17807175
-k62,12:13510227,17807175:202641
-x62,12:15257666,17807175
-k62,12:15460308,17807175:202642
-x62,12:16639956,17807175
-k62,12:16842597,17807175:202641
-x62,12:18044257,17807175
-k62,12:18306150,17807175:261893
-x62,12:18786649,17807175
-k62,12:18786649,17807175:0
-)
-(1,9:2797019,18757447:15989630,536337,170256
-x1,9:4020680,18757447
-k62,12:4259682,18757447:239002
-x62,12:5526603,18757447
-k62,12:5765604,18757447:239001
-x62,12:7337661,18757447
-k62,12:7576663,18757447:239002
-x62,12:8493637,18757447
-k62,12:8732639,18757447:239002
-x62,12:9387734,18757447
-x62,12:9780950,18757447
-k62,12:10019952,18757447:239002
-x62,12:11985225,18757447
-k62,12:12224226,18757447:239001
-x62,12:13359829,18757447
-k62,12:13598831,18757447:239002
-x62,12:14428501,18757447
-x62,12:14805992,18757447
-x62,12:15361994,18757447
-k62,12:15600996,18757447:239002
-x62,12:16168787,18757447
-k62,12:16407788,18757447:239001
-x62,12:16756959,18757447
-k62,12:16995961,18757447:239002
-x62,12:18786649,18757447
-k62,12:18786649,18757447:0
-)
-(1,9:2797019,19707719:15989630,536337,170256
-x1,9:3452114,19707719
-k62,12:3694426,19707719:242312
-x62,12:4436813,19707719
-x62,12:5878340,19707719
-x62,12:6096960,19707719
-x62,12:8237594,19707719
-k62,12:8479906,19707719:242312
-x62,12:10642594,19707719
-k62,12:10884906,19707719:242312
-x62,12:12698402,19707719
-k62,12:13079306,19707719:380904
-x62,12:14695418,19707719
-k62,12:14937730,19707719:242312
-x62,12:15374970,19707719
-k62,12:15617282,19707719:242312
-x62,12:16840943,19707719
-k62,12:17083255,19707719:242312
-x62,12:18786649,19707719
-k62,12:18786649,19707719:0
-)
-(1,9:2797019,20657991:15989630,536337,170256
-x1,9:4674990,20657991
-k62,12:4996290,20657991:321300
-x62,12:5608126,20657991
-k62,12:5929426,20657991:321300
-x62,12:7698091,20657991
-k62,12:8050564,20657991:352473
-x62,12:9710701,20657991
-k62,12:10032001,20657991:321300
-x62,12:11822689,20657991
-k62,12:12143988,20657991:321299
-x62,12:13279591,20657991
-k62,12:13600891,20657991:321300
-x62,12:14038142,20657991
-x62,12:15610985,20657991
-k62,12:15932285,20657991:321300
-x62,12:16674672,20657991
-x62,12:17504342,20657991
-k62,12:17825642,20657991:321300
-x62,12:18786649,20657991
-k62,12:18786649,20657991:0
-)
-(1,9:2797019,21608263:15989630,536337,170256
-x1,9:4674990,21608263
-k62,12:4828816,21608263:153826
-x62,12:5396607,21608263
-x62,12:6401659,21608263
-x62,12:8454226,21608263
-k62,12:8608052,21608263:153826
-x62,12:10529290,21608263
-k62,12:10683116,21608263:153826
-x62,12:11120356,21608263
-k62,12:11274182,21608263:153826
-x62,12:12497843,21608263
-k62,12:12651669,21608263:153826
-x62,12:13044885,21608263
-x62,12:14077471,21608263
-k62,12:14231297,21608263:153826
-x62,12:15214337,21608263
-k62,12:15443868,21608263:229531
-x62,12:16404885,21608263
-x62,12:17671816,21608263
-k62,12:17825642,21608263:153826
-x62,12:18786649,21608263
-k62,12:18786649,21608263:0
-)
-(1,9:2797019,22558535:15989630,536337,110880
-x1,9:4195266,22558535
-k62,12:4390455,22558535:195189
-x62,12:5002291,22558535
-k62,12:5197480,22558535:195189
-x62,12:5590696,22558535
-x62,12:6879639,22558535
-k62,12:7075111,22558535:195472
-x62,12:7512351,22558535
-k62,12:7707540,22558535:195189
-x62,12:8931201,22558535
-k62,12:9126390,22558535:195189
-x62,12:10524658,22558535
-k62,12:10719847,22558535:195189
-x62,12:11330897,22558535
-x62,12:12335949,22558535
-k62,12:12531137,22558535:195188
-x62,12:13666740,22558535
-x62,12:14802322,22558535
-k62,12:14997511,22558535:195189
-x62,12:16395768,22558535
-k62,12:16590957,22558535:195189
-x62,12:17246042,22558535
-x62,12:17619597,22558535
-x62,12:18786649,22558535
-k62,12:18786649,22558535:0
-)
-(1,9:2797019,23508807:15989630,536337,170256
-x1,9:4194491,23508807
-k62,12:4349437,23508807:154946
-x62,12:5223142,23508807
-x62,12:6752728,23508807
-k62,12:6907673,23508807:154945
-x62,12:7519509,23508807
-k62,12:7674455,23508807:154946
-x62,12:8941386,23508807
-x62,12:10186284,23508807
-k62,12:10349562,23508807:163278
-x62,12:11266534,23508807
-x62,12:12882636,23508807
-x62,12:13264063,23508807
-k62,12:13419008,23508807:154945
-x62,12:14554611,23508807
-k62,12:14709557,23508807:154946
-x62,12:16697639,23508807
-k62,12:16927543,23508807:229904
-x62,12:17408042,23508807
-k62,12:17562988,23508807:154946
-x62,12:18786649,23508807
-k62,12:18786649,23508807:0
-)
-(1,9:2797019,24459079:15989630,536337,170256
-x1,9:4063940,24459079
-k62,12:4244653,24459079:180713
-x62,12:5205660,24459079
-x62,12:5546968,24459079
-k62,12:5727681,24459079:180713
-x62,12:6688688,24459079
-x62,12:8217488,24459079
-k62,12:8398201,24459079:180713
-x62,12:10320225,24459079
-k62,12:10500938,24459079:180713
-x62,12:11724599,24459079
-k62,12:11905313,24459079:180714
-x62,12:12647700,24459079
-k62,12:12828413,24459079:180713
-x62,12:14095334,24459079
-k62,12:14276047,24459079:180713
-x62,12:14887883,24459079
-k62,12:15068596,24459079:180713
-x62,12:16640653,24459079
-k62,12:16821366,24459079:180713
-x62,12:18524770,24459079
-x62,12:18786649,24459079
-k1,9:18786649,24459079:0
-)
-(1,9:2797019,25409351:15989630,536337,170256
-x1,9:3146190,25409351
-x1,9:3519745,25409351
-x1,9:4119006,25409351
-k62,12:4348392,25409351:229386
-x62,12:5309399,25409351
-k62,12:5538785,25409351:229386
-x62,12:6980281,25409351
-x62,12:7985312,25409351
-k62,12:8214697,25409351:229385
-x62,12:10223997,25409351
-k62,12:10453383,25409351:229386
-x62,12:11239815,25409351
-k62,12:11469201,25409351:229386
-x62,12:12255633,25409351
-k62,12:12485019,25409351:229386
-x62,12:13140114,25409351
-k62,12:13369499,25409351:229385
-x62,12:14330506,25409351
-k62,12:14559892,25409351:229386
-x62,12:15957364,25409351
-k62,12:16186750,25409351:229386
-x62,12:17060455,25409351
-x62,12:18786649,25409351
-k62,12:18786649,25409351:0
-)
-(1,9:2797019,26359623:15989630,536337,170256
-x1,9:4019915,26359623
-k62,12:4240255,26359623:220340
-x62,12:5681751,26359623
-x62,12:6686782,26359623
-k62,12:6907121,26359623:220339
-x62,12:7431655,26359623
-k62,12:7651995,26359623:220340
-x62,12:8045211,26359623
-x62,12:9078562,26359623
-k62,12:9298901,26359623:220339
-x62,12:10085333,26359623
-k62,12:10305673,26359623:220340
-x62,12:10960768,26359623
-k62,12:11181107,26359623:220339
-x62,12:11836192,26359623
-x62,12:12209747,26359623
-x62,12:13376799,26359623
-k62,12:13597139,26359623:220340
-x62,12:15323333,26359623
-k62,12:15638319,26359623:314986
-x62,12:17472265,26359623
-k62,12:17692605,26359623:220340
-x62,12:18217139,26359623
-k62,12:18437478,26359623:220339
-x62,12:18786649,26359623
-k62,12:18786649,26359623:0
-)
-(1,9:2797019,27309895:15989630,536337,170256
-x1,9:4151242,27309895
-x1,9:5156294,27309895
-x1,9:5768130,27309895
-x1,9:6466472,27309895
-x1,9:7690144,27309895
-k62,12:7906842,27309895:216698
-x62,12:9327122,27309895
-k62,12:9548841,27309895:221719
-x62,12:9898012,27309895
-k62,12:10114710,27309895:216698
-x62,12:11949443,27309895
-k62,12:12166141,27309895:216698
-x62,12:13564409,27309895
-x62,12:14569461,27309895
-k62,12:14786158,27309895:216697
-x62,12:16206438,27309895
-k62,12:16428158,27309895:221720
-x62,12:17039208,27309895
-x62,12:18524770,27309895
-x62,12:18786649,27309895
-k1,9:18786649,27309895:0
-)
-(1,9:2797019,28260167:15989630,536337,170256
-x1,9:3321553,28260167
-x1,9:4282560,28260167
-k62,12:4523552,28260167:240992
-x62,12:5440526,28260167
-k62,12:5681518,28260167:240992
-x62,12:6642525,28260167
-k62,12:6883517,28260167:240992
-x62,12:8149650,28260167
-x62,12:9197940,28260167
-k62,12:9438932,28260167:240992
-x62,12:10181319,28260167
-x62,12:10792369,28260167
-x62,12:11564650,28260167
-k62,12:11805643,28260167:240993
-x62,12:12592075,28260167
-k62,12:12833067,28260167:240992
-x62,12:14820373,28260167
-k62,12:15072461,28260167:252088
-x62,12:15421632,28260167
-k62,12:15662624,28260167:240992
-x62,12:17235467,28260167
-k62,12:17476459,28260167:240992
-x62,12:18524770,28260167
-x62,12:18786649,28260167
-k1,9:18786649,28260167:0
-)
-(1,9:2797019,29210439:15989630,536337,170256
-x1,9:4107189,29210439
-x1,9:5112241,29210439
-k62,12:5366266,29210439:254025
-x62,12:6589938,29210439
-k62,12:6843964,29210439:254026
-x62,12:7979567,29210439
-k62,12:8233592,29210439:254025
-x62,12:8888677,29210439
-x62,12:9262232,29210439
-x62,12:10429284,29210439
-k62,12:10683310,29210439:254026
-x62,12:11731611,29210439
-x62,12:13195142,29210439
-k62,12:13611186,29210439:416044
-x62,12:14703532,29210439
-k62,12:14957557,29210439:254025
-x62,12:16530392,29210439
-k62,12:16784418,29210439:254026
-x62,12:17396254,29210439
-k62,12:17650279,29210439:254025
-x62,12:18786649,29210439
-k62,12:18786649,29210439:0
-)
-(1,9:2797019,30160711:15989630,536337,170256
-x1,9:4980912,30160711
-k62,12:5168486,30160711:187574
-x62,12:6128707,30160711
-k62,12:6316281,30160711:187574
-x62,12:7277288,30160711
-k62,12:7464861,30160711:187573
-x62,12:7858077,30160711
-x62,12:8207248,30160711
-x62,12:8580803,30160711
-x62,12:9136805,30160711
-x62,12:9923237,30160711
-x62,12:11496080,30160711
-k62,12:11683654,30160711:187574
-x62,12:12819257,30160711
-k62,12:13006831,30160711:187574
-x62,12:13837287,30160711
-x62,12:14798294,30160711
-x62,12:16152517,30160711
-x62,12:16720308,30160711
-x62,12:17943980,30160711
-k62,12:18131554,30160711:187574
-x62,12:18786649,30160711
-k62,12:18786649,30160711:0
-)
-(1,9:2797019,31110983:15989630,536337,170256
-x1,9:3758026,31110983
-k62,12:3935671,31110983:177645
-x62,12:5508514,31110983
-k62,12:5686159,31110983:177645
-x62,12:6734470,31110983
-x62,12:8044640,31110983
-x62,12:9049692,31110983
-k62,12:9227337,31110983:177645
-x62,12:10647617,31110983
-k62,12:10885088,31110983:237471
-x62,12:11365587,31110983
-k62,12:11543232,31110983:177645
-x62,12:12067766,31110983
-k62,12:12245411,31110983:177645
-x62,12:13031843,31110983
-x62,12:13579983,31110983
-x62,12:14933420,31110983
-k62,12:15111065,31110983:177645
-x62,12:15897497,31110983
-k62,12:16075142,31110983:177645
-x62,12:16817529,31110983
-k62,12:16995174,31110983:177645
-x62,12:18786649,31110983
-k62,12:18786649,31110983:0
-)
-(1,9:2797019,32061255:15989630,536337,170256
-x1,9:3889365,32061255
-k62,12:4190082,32061255:300717
-x62,12:5020538,32061255
-x62,12:6024804,32061255
-k62,12:6325521,32061255:300717
-x62,12:7373832,32061255
-x62,12:8378088,32061255
-x62,12:9339097,32061255
-k62,12:9639814,32061255:300717
-x62,12:10688125,32061255
-x62,12:12086393,32061255
-k62,12:12387111,32061255:300718
-x62,12:12736282,32061255
-k62,12:13036999,32061255:300717
-x62,12:14259099,32061255
-k62,12:14559816,32061255:300717
-x62,12:15738657,32061255
-k62,12:16039374,32061255:300717
-x62,12:17437621,32061255
-k62,12:17738338,32061255:300717
-x62,12:18524770,32061255
-x62,12:18786649,32061255
-k1,9:18786649,32061255:0
-)
-(1,9:2797019,33011527:15989630,536337,170256
-x1,9:3146190,33011527
-x1,9:3975860,33011527
-x1,9:4980912,33011527
-k62,12:5270501,33011527:289589
-x62,12:6275545,33011527
-x62,12:7651780,33011527
-k62,12:8174517,33011527:522737
-x62,12:9397413,33011527
-k62,12:9687002,33011527:289589
-x62,12:10429389,33011527
-x62,12:11477679,33011527
-k62,12:11767269,33011527:289590
-x62,12:12946898,33011527
-x62,12:13514699,33011527
-x62,12:14467057,33011527
-k62,12:14756646,33011527:289589
-x62,12:15673620,33011527
-k62,12:15963210,33011527:289590
-x62,12:16924217,33011527
-k62,12:17213806,33011527:289589
-x62,12:18786649,33011527
-k62,12:18786649,33011527:0
-)
-(1,9:2797019,33961799:15989630,536337,170256
-x1,9:3845330,33961799
-x1,9:5155500,33961799
-x1,9:6160552,33961799
-k62,12:6362576,33961799:202024
-x62,12:7586248,33961799
-k62,12:7788272,33961799:202024
-x62,12:8312806,33961799
-k62,12:8514831,33961799:202025
-x62,12:9781751,33961799
-x62,12:10742758,33961799
-k62,12:10944782,33961799:202024
-x62,12:11556618,33961799
-k62,12:11758642,33961799:202024
-x62,12:13593374,33961799
-k62,12:13795393,33961799:202019
-x62,12:14319937,33961799
-k62,12:14521956,33961799:202019
-x62,12:15657559,33961799
-k62,12:15859583,33961799:202024
-x62,12:17213806,33961799
-x62,12:18786649,33961799
-k62,12:18786649,33961799:0
-)
-(1,9:2797019,34912071:15989630,536337,170256
-x1,9:3932622,34912071
-k62,12:4169351,34912071:236729
-x62,12:4562567,34912071
-x62,12:5595918,34912071
-k62,12:5832646,34912071:236728
-x62,12:6531776,34912071
-x62,12:7536828,34912071
-k62,12:7773557,34912071:236729
-x62,12:8734564,34912071
-k62,12:8971292,34912071:236728
-x62,12:10674695,34912071
-k62,12:10911384,34912071:236689
-x62,12:12702859,34912071
-k62,12:12939547,34912071:236688
-x62,12:14163219,34912071
-k62,12:14399947,34912071:236728
-x62,12:16103341,34912071
-k62,12:16340070,34912071:236729
-x62,12:17388381,34912071
-x62,12:18786649,34912071
-k62,12:18786649,34912071:0
-)
-(1,9:2797019,35862343:15989630,536337,170256
-x1,9:3408855,35862343
-g62,12:3605463,35862343
-x62,12:4566470,35862343
-g62,12:4763078,35862343
-x62,12:6946961,35862343
-g62,12:7143569,35862343
-x62,12:8650366,35862343
-g62,12:8846974,35862343
-k1,9:18786649,35862343:9939675
-g1,9:18786649,35862343
-)
-(63,4:2797019,38714992:15989630,1009250,432542
-g63,4:4559123,38714992
-r63,59:4559123,38714992:0,1441792,432542
-(63,4:4559123,38714992:0,1009250,432542
-k63,4:2797019,38714992:-1762104
-(63,4:2797019,38714992:1762104,1009250,432542
-x63,4:3426661,38714992
-g63,4:4559123,38714992
-r63,59:4559123,38714992:0,1441792,432542
-g63,4:4559123,38714992
-)
-)
-x63,4:8649534,38714992
-g63,4:8964347,38714992
-x63,4:10033384,38714992
-x63,4:11727528,38714992
-r63,59:11727528,38714992:0,1441792,432542
-k63,4:18786649,38714992:7059121
-g63,4:18786649,38714992
-)
-(63,11:2797019,40602628:15989630,536337,170256
-x63,11:3277518,40602628
-k63,8:3575763,40602628:298245
-x63,8:4100297,40602628
-k63,8:4398542,40602628:298245
-x63,8:5403594,40602628
-k63,8:5701840,40602628:298246
-x63,8:6794186,40602628
-x63,8:7318720,40602628
-x63,8:8279727,40602628
-k63,8:8577972,40602628:298245
-x63,8:9189808,40602628
-k63,8:9488053,40602628:298245
-x63,8:10230440,40602628
-x63,8:10607931,40602628
-x63,8:10826551,40602628
-x63,8:11219767,40602628
-x63,8:12132802,40602628
-k63,8:12431047,40602628:298245
-x63,8:13392054,40602628
-k63,8:13690300,40602628:298246
-x63,8:15131796,40602628
-x63,8:16136827,40602628
-k63,8:16435072,40602628:298245
-x63,8:18051164,40602628
-k63,8:18349409,40602628:298245
-x63,8:18786649,40602628
-k63,8:18786649,40602628:0
-)
-(63,11:2797019,41552900:15989630,536337,170256
-x63,11:3845320,41552900
-k63,8:4133551,41552900:288231
-x63,8:4919983,41552900
-k63,8:5208215,41552900:288232
-x63,8:6605697,41552900
-x63,8:7165625,41552900
-k63,8:7453856,41552900:288231
-x63,8:9507219,41552900
-x63,8:10818185,41552900
-k63,8:11106417,41552900:288232
-x63,8:11499633,41552900
-x63,8:12532984,41552900
-k63,8:12821215,41552900:288231
-x63,8:13629659,41552900
-k63,8:14148321,41552900:518662
-x63,8:15982267,41552900
-x63,8:17248412,41552900
-k63,9:17536644,41552900:288232
-x63,9:17973884,41552900
-k63,9:18262115,41552900:288231
-x63,9:18786649,41552900
-k63,9:18786649,41552900:0
-)
-(63,11:2797019,42503172:15989630,536337,170256
-x63,11:4063940,42503172
-k63,9:4260714,42503172:196774
-x63,9:5265766,42503172
-k63,9:5462539,42503172:196773
-x63,9:6554885,42503172
-x63,9:7079419,42503172
-x63,9:8040426,42503172
-k63,9:8237200,42503172:196774
-x63,9:8849036,42503172
-k63,9:9045809,42503172:196773
-x63,9:10225436,42503172
-k63,9:10422210,42503172:196774
-x63,9:11383217,42503172
-k63,9:11579990,42503172:196773
-x63,9:12890168,42503172
-x63,9:13850389,42503172
-k63,9:14047163,42503172:196774
-x63,9:14658999,42503172
-k63,9:14855772,42503172:196773
-x63,9:15204943,42503172
-k63,9:15401717,42503172:196774
-x63,9:16100059,42503172
-x63,9:17541565,42503172
-k63,9:17738338,42503172:196773
-x63,9:18524770,42503172
-x63,9:18786649,42503172
-k63,11:18786649,42503172:0
-)
-(63,11:2797019,43453444:15989630,536337,170256
-x63,11:4129209,43453444
-k63,9:4423046,43453444:293837
-x63,9:5821305,43453444
-k63,10:6034594,43453444:213289
-x63,10:6602385,43453444
-x63,10:8218487,43453444
-x63,10:10183750,43453444
-k63,10:10397040,43453444:213290
-x63,10:11751263,43453444
-x63,10:12398485,43453444
-k63,10:12611775,43453444:213290
-x63,10:13049015,43453444
-k63,10:13262304,43453444:213289
-x63,10:14835147,43453444
-k63,10:15048437,43453444:213290
-x63,10:16445919,43453444
-k63,10:16659208,43453444:213289
-x63,10:17271044,43453444
-k63,10:17484334,43453444:213290
-x63,10:18445341,43453444
-x63,10:18786649,43453444
-k63,10:18786649,43453444:0
-)
-(63,11:2797019,44403716:15989630,536337,170256
-x63,11:3758026,44403716
-x63,11:5483434,44403716
-k63,11:18786649,44403716:13303215
-g63,11:18786649,44403716
-)
-(63,20:2797019,45390596:15989630,536337,170256
-h63,12:2797019,45390596:770040,0,0
-x63,12:4397526,45390596
-k63,12:4624798,45390596:227272
-x63,12:5585805,45390596
-k63,12:5813078,45390596:227273
-x63,12:7036742,45390596
-k63,12:7264014,45390596:227272
-x63,12:8399617,45390596
-x63,12:9317367,45390596
-x63,12:9666538,45390596
-x63,12:10277588,45390596
-x63,12:11501260,45390596
-k63,12:11728532,45390596:227272
-x63,12:12253066,45390596
-k63,12:12480339,45390596:227273
-x63,12:13616709,45390596
-k63,12:13843981,45390596:227272
-x63,12:14455817,45390596
-k63,13:14683089,45390596:227272
-x63,13:15644096,45390596
-x63,13:15985404,45390596
-k63,13:16212677,45390596:227273
-x63,13:16955064,45390596
-x63,13:17336491,45390596
-k63,13:17563763,45390596:227272
-x63,13:18524770,45390596
-x63,13:18786649,45390596
-k63,20:18786649,45390596:0
-)
-(63,20:2797019,46340868:15989630,536337,11002
-x63,20:4325819,46340868
-k63,13:4556251,46340868:230432
-x63,13:5691854,46340868
-k63,13:5922287,46340868:230433
-x63,13:7363804,46340868
-k63,13:7594236,46340868:230432
-x63,13:9167079,46340868
-k63,13:9397512,46340868:230433
-x63,13:10926322,46340868
-k63,13:11156754,46340868:230432
-x63,13:12117761,46340868
-k63,13:12348194,46340868:230433
-x63,13:13789690,46340868
-x63,13:14794721,46340868
-k63,13:15025153,46340868:230432
-x63,13:15811585,46340868
-x63,13:16752941,46340868
-k63,13:16983374,46340868:230433
-x63,13:17595210,46340868
-k63,13:17825642,46340868:230432
-x63,13:18786649,46340868
-k63,13:18786649,46340868:0
-)
-(63,20:2797019,47291140:15989630,536337,170256
-x63,20:4194491,47291140
-k63,13:4415627,47291140:221136
-x63,13:5289332,47291140
-x63,13:6818918,47291140
-k63,13:7040054,47291140:221136
-x63,13:7826486,47291140
-k63,13:8047623,47291140:221137
-x63,13:9816288,47291140
-k63,13:10133664,47291140:317376
-x63,13:11270044,47291140
-k63,14:11491180,47291140:221136
-x63,14:12627550,47291140
-k63,14:12848686,47291140:221136
-x63,14:15207961,47291140
-k63,14:15429098,47291140:221137
-x63,14:15953632,47291140
-k63,14:16174768,47291140:221136
-x63,14:17179820,47291140
-k63,14:17400956,47291140:221136
-x63,14:17794172,47291140
-x63,14:18786649,47291140
-k63,14:18786649,47291140:0
-)
-(63,20:2797019,48241412:15989630,536337,11002
-x63,20:3757240,48241412
-x63,20:4281774,48241412
-x63,20:5242781,48241412
-k63,14:5483722,48241412:240941
-x63,14:6400696,48241412
-k63,14:6641636,48241412:240940
-x63,14:8301773,48241412
-k63,14:8542714,48241412:240941
-x63,14:9502935,48241412
-x63,14:11097803,48241412
-k63,14:11474593,48241412:376790
-x63,14:12916877,48241412
-k63,14:13157818,48241412:240941
-x63,14:14074790,48241412
-k63,14:14315731,48241412:240941
-x63,14:15800505,48241412
-k63,14:16041446,48241412:240941
-x63,14:16653282,48241412
-k63,14:16894222,48241412:240940
-x63,14:17855229,48241412
-x63,14:18196537,48241412
-k63,14:18437478,48241412:240941
-x63,14:18786649,48241412
-k63,14:18786649,48241412:0
-)
-(63,20:2797019,49191684:15989630,536337,170256
-x63,20:3627475,49191684
-k63,14:3836541,49191684:209066
-x63,14:4491636,49191684
-k63,14:4700702,49191684:209066
-x63,14:5661709,49191684
-x63,14:7190509,49191684
-k63,14:7399574,49191684:209065
-x63,14:8011410,49191684
-k63,15:8220476,49191684:209066
-x63,15:9181483,49191684
-k63,15:9390549,49191684:209066
-x63,15:9958340,49191684
-k63,15:10167406,49191684:209066
-x63,15:11608902,49191684
-k63,15:11817967,49191684:209065
-x63,15:13478104,49191684
-k63,15:13687170,49191684:209066
-x63,15:15260034,49191684
-k63,15:15469100,49191684:209066
-x63,15:17107225,49191684
-k63,15:17388390,49191684:281165
-x63,15:18786649,49191684
-k63,15:18786649,49191684:0
-)
-(63,20:2797019,50141956:15989630,536337,170256
-x63,20:3364820,50141956
-x63,15:4755225,50141956
-k63,15:4952774,50141956:197549
-x63,15:6437548,50141956
-k63,15:6635097,50141956:197549
-x63,15:6984268,50141956
-k63,15:7181817,50141956:197549
-x63,15:8012273,50141956
-k63,15:8209822,50141956:197549
-x63,15:8864917,50141956
-k63,15:9062466,50141956:197549
-x63,15:10635309,50141956
-x63,15:11683620,50141956
-k63,15:11881169,50141956:197549
-x63,15:12667601,50141956
-k63,15:12865150,50141956:197549
-x63,15:13826157,50141956
-k63,15:14023706,50141956:197549
-x63,15:15465202,50141956
-x63,15:16666841,50141956
-k63,15:16864625,50141956:197784
-x63,15:18786649,50141956
-k63,15:18786649,50141956:0
-)
-(63,20:2797019,51092228:15989630,536337,170256
-x63,20:3321553,51092228
-k63,15:3519633,51092228:198080
-x63,15:4524685,51092228
-k63,15:4722766,51092228:198081
-x63,15:6164283,51092228
-k63,16:6362363,51092228:198080
-x63,16:6711534,51092228
-k63,16:6909614,51092228:198080
-x63,16:7914666,51092228
-k63,16:8112746,51092228:198080
-x63,16:9619532,51092228
-k63,16:9817981,51092228:198449
-x63,16:10211197,51092228
-x63,16:10807308,51092228
-k63,16:11005388,51092228:198080
-x63,16:11966395,51092228
-k63,16:12164475,51092228:198080
-x63,16:13431395,51092228
-x63,16:14436447,51092228
-k63,16:14634527,51092228:198080
-x63,16:15289622,51092228
-k63,16:15487703,51092228:198081
-x63,16:16797881,51092228
-k63,16:16995961,51092228:198080
-x63,16:18786649,51092228
-k63,16:18786649,51092228:0
-)
-]
-g63,59:18786649,51092228
-)
-k63,59:19579138,51092228:792489
-r63,59:19579138,51092228:0,45684659,0
-k63,59:20371627,51092228:792489
-(63,59:20371627,51092228:15989630,45684659,0
-[63,59:20371627,51092228:15989630,45684659,0
-(63,20:20371627,6194001:15989630,536337,170256
-x63,20:21637760,6194001
-x63,20:22686050,6194001
-k63,16:22917371,6194001:231321
-x63,16:23659758,6194001
-x63,16:24270808,6194001
-x63,16:25043089,6194001
-k63,16:25274411,6194001:231322
-x63,16:27196435,6194001
-k63,16:27427756,6194001:231321
-x63,16:27952290,6194001
-k63,16:28183612,6194001:231322
-x63,16:29756455,6194001
-k63,16:29987776,6194001:231321
-x63,16:31036852,6194001
-x63,16:32216479,6194001
-k63,16:32447800,6194001:231321
-x63,16:33234232,6194001
-k63,16:33465554,6194001:231322
-x63,16:34426561,6194001
-k63,16:34657882,6194001:231321
-x63,16:36099378,6194001
-x63,16:36361257,6194001
-k63,20:36361257,6194001:0
-)
-(63,20:20371627,7144273:15989630,536337,170256
-x63,20:21573266,7144273
-k63,17:22138167,7144273:564901
-x63,17:23361063,7144273
-k63,17:23664707,7144273:303644
-x63,17:24668963,7144273
-x63,17:25848611,7144273
-k63,17:26152255,7144273:303644
-x63,17:27593782,7144273
-x63,17:28773409,7144273
-k63,17:29077053,7144273:303644
-x63,17:29798195,7144273
-k63,17:30128598,7144273:330403
-x63,17:31308225,7144273
-k63,17:31611870,7144273:303645
-x63,17:32528842,7144273
-k63,17:32832486,7144273:303644
-x63,17:34099407,7144273
-k63,17:34403051,7144273:303644
-x63,17:34970852,7144273
-x63,17:36361257,7144273
-k63,17:36361257,7144273:0
-)
-(63,20:20371627,8094545:15989630,536337,170256
-x63,20:21856401,8094545
-k63,17:22042541,8094545:186140
-x63,17:22654377,8094545
-k63,17:22840516,8094545:186139
-x63,17:24369316,8094545
-x63,17:25242245,8094545
-k63,17:25428385,8094545:186140
-x63,17:25777556,8094545
-k63,17:25963696,8094545:186140
-x63,17:26968748,8094545
-k63,17:27154887,8094545:186139
-x63,17:29514162,8094545
-k63,17:29700302,8094545:186140
-x63,17:30355397,8094545
-k63,17:30541537,8094545:186140
-x63,17:32048323,8094545
-k63,17:32288625,8094545:240302
-x63,17:33773388,8094545
-k63,18:33959528,8094545:186140
-x63,18:35488328,8094545
-x63,18:36361257,8094545
-k63,18:36361257,8094545:0
-)
-(63,20:20371627,9044817:15989630,536337,170256
-x63,20:20896161,9044817
-k63,18:21071053,9044817:174892
-x63,18:22120129,9044817
-x63,18:23299756,9044817
-k63,18:23474647,9044817:174891
-x63,18:25921226,9044817
-k63,18:26096118,9044817:174892
-x63,18:27624918,9044817
-x63,18:28497847,9044817
-k63,18:28672739,9044817:174892
-x63,18:29851580,9044817
-k63,18:30026472,9044817:174892
-x63,18:31162075,9044817
-k63,18:31336966,9044817:174891
-x63,18:32603887,9044817
-k63,18:32778779,9044817:174892
-x63,18:35225358,9044817
-k63,18:35400250,9044817:174892
-x63,18:36361257,9044817
-k63,18:36361257,9044817:0
-)
-(63,20:20371627,9995089:15989630,536337,11002
-x63,20:21769874,9995089
-k63,18:22038454,9995089:268580
-x63,18:23218091,9995089
-x63,18:24004523,9995089
-x63,18:24858577,9995089
-k63,18:25127156,9995089:268579
-x63,18:26306783,9995089
-k63,18:26575363,9995089:268580
-x63,18:27143154,9995089
-x63,18:27754990,9995089
-x63,18:28303130,9995089
-k63,18:28571709,9995089:268579
-x63,18:29925932,9995089
-x63,18:30930984,9995089
-x63,18:31542820,9995089
-x63,18:32241162,9995089
-x63,18:33464834,9995089
-k63,19:33733414,9995089:268580
-x63,19:35131671,9995089
-k63,19:35400250,9995089:268579
-x63,19:36361257,9995089
-k63,19:36361257,9995089:0
-)
-(63,20:20371627,10945361:15989630,536337,9038
-x63,20:21813123,10945361
-x63,20:23014762,10945361
-k63,20:36361257,10945361:13346495
-g63,20:36361257,10945361
-)
-(63,29:20371627,11954494:15989630,536337,170256
-h63,21:20371627,11954494:770040,0,0
-x63,21:21622166,11954494
-k63,21:21869806,11954494:247640
-x63,21:22394340,11954494
-k63,21:22641980,11954494:247640
-x63,21:24214823,11954494
-k63,21:24462463,11954494:247640
-x63,21:24855679,11954494
-x63,21:25248895,11954494
-x63,21:25848942,11954494
-x63,21:26941288,11954494
-k63,21:27188928,11954494:247640
-x63,21:28368555,11954494
-k63,21:28616196,11954494:247641
-x63,21:30188253,11954494
-k63,21:30435893,11954494:247640
-x63,21:30960427,11954494
-k63,21:31208067,11954494:247640
-x63,21:31557238,11954494
-k63,21:31804878,11954494:247640
-x63,21:33289652,11954494
-k63,21:33537292,11954494:247640
-x63,21:34192387,11954494
-k63,21:34440027,11954494:247640
-x63,21:35444293,11954494
-x63,21:35750207,11954494
-x63,21:36099378,11954494
-x63,21:36361257,11954494
-k63,29:36361257,11954494:0
-)
-(63,29:20371627,12904766:15989630,536337,170256
-x63,29:21288589,12904766
-x63,29:22512261,12904766
-k63,21:22764938,12904766:252677
-x63,21:23420033,12904766
-k63,21:23672709,12904766:252676
-x63,21:24633716,12904766
-k63,21:24886393,12904766:252677
-x63,21:25847400,12904766
-x63,21:27376200,12904766
-k63,21:27628877,12904766:252677
-x63,21:29550901,12904766
-k63,21:29803578,12904766:252677
-x63,21:31289119,12904766
-k63,21:31541795,12904766:252676
-x63,21:32284182,12904766
-k63,21:32536859,12904766:252677
-x63,21:33323291,12904766
-x63,21:34264647,12904766
-x63,21:36361257,12904766
-k63,22:36361257,12904766:0
-)
-(63,29:20371627,13855038:15989630,536337,170256
-x63,29:21900437,13855038
-k63,22:22080918,13855038:180481
-x63,22:23041925,13855038
-k63,22:23222405,13855038:180480
-x63,22:24663901,13855038
-x63,22:25865540,13855038
-k63,22:26103957,13855038:238417
-x63,22:26934424,13855038
-k63,22:27114904,13855038:180480
-x63,22:28031876,13855038
-k63,22:28212357,13855038:180481
-x63,22:30615677,13855038
-k63,22:30796158,13855038:180481
-x63,22:32543597,13855038
-k63,22:32724078,13855038:180481
-x63,22:33073249,13855038
-k63,22:33253729,13855038:180480
-x63,22:34390099,13855038
-x63,22:35351106,13855038
-k63,22:35531587,13855038:180481
-x63,22:36099378,13855038
-x63,22:36361257,13855038
-k63,29:36361257,13855038:0
-)
-(63,29:20371627,14805310:15989630,536337,170256
-x63,29:21158059,14805310
-x63,29:22862230,14805310
-k63,22:23047440,14805310:185210
-x63,22:24969464,14805310
-k63,22:25154674,14805310:185210
-x63,22:26902113,14805310
-k63,22:27087323,14805310:185210
-x63,22:27829710,14805310
-k63,22:28014919,14805310:185209
-x63,22:29325097,14805310
-k63,22:29510307,14805310:185210
-x63,22:30122143,14805310
-k63,23:30307353,14805310:185210
-x63,23:31093785,14805310
-k63,23:31278995,14805310:185210
-x63,23:32939132,14805310
-k63,23:33124342,14805310:185210
-x63,23:33473513,14805310
-x63,23:33847068,14805310
-x63,23:34395198,14805310
-x63,23:34788414,14805310
-x63,23:35137585,14805310
-x63,23:36361257,14805310
-k63,23:36361257,14805310:0
-)
-(63,29:20371627,15755582:15989630,536337,170256
-x63,29:20852126,15755582
-k63,23:21165489,15755582:313363
-x63,23:21514660,15755582
-k63,23:21828024,15755582:313364
-x63,23:22789031,15755582
-x63,23:24011917,15755582
-k63,23:24325280,15755582:313363
-x63,23:26465138,15755582
-k63,23:26778501,15755582:313363
-x63,23:27215741,15755582
-k63,23:27529105,15755582:313364
-x63,23:28053639,15755582
-k63,23:28367002,15755582:313363
-x63,23:29240717,15755582
-x63,23:29808508,15755582
-x63,23:30944092,15755582
-x63,23:32211023,15755582
-k63,23:32524387,15755582:313364
-x63,23:33179482,15755582
-k63,23:33492845,15755582:313363
-x63,23:34694505,15755582
-k63,23:35288563,15755582:594058
-x63,23:35769072,15755582
-x63,23:36099378,15755582
-x63,23:36361257,15755582
-k63,29:36361257,15755582:0
-)
-(63,29:20371627,16705854:15989630,536337,170256
-x63,29:21769874,16705854
-k63,23:21988512,16705854:218638
-x63,23:23691906,16705854
-k63,23:23910545,16705854:218639
-x63,23:25046148,16705854
-k63,23:25264786,16705854:218638
-x63,23:25876622,16705854
-x63,23:27274869,16705854
-k63,24:27493508,16705854:218639
-x63,24:29240936,16705854
-k63,24:29459574,16705854:218638
-x63,24:30420581,16705854
-x63,24:31949381,16705854
-k63,24:32168019,16705854:218638
-x63,24:33259577,16705854
-k63,24:33478216,16705854:218639
-x63,24:34220603,16705854
-k63,24:34439241,16705854:218638
-x63,24:36361257,16705854
-k63,24:36361257,16705854:0
-)
-(63,29:20371627,17656126:15989630,536337,170256
-x63,29:21573287,17656126
-k63,24:21962495,17656126:389208
-x63,24:23753182,17656126
-k63,24:23998262,17656126:245080
-x63,24:24959269,17656126
-x63,24:26488069,17656126
-k63,24:26733148,17656126:245079
-x63,24:28480587,17656126
-k63,24:28725667,17656126:245080
-x63,24:29818013,17656126
-x63,24:30366153,17656126
-k63,24:30611233,17656126:245080
-x63,24:32271370,17656126
-x63,24:33888258,17656126
-k63,24:34133338,17656126:245080
-x63,24:34745174,17656126
-x63,24:36099378,17656126
-x63,24:36361257,17656126
-k63,29:36361257,17656126:0
-)
-(63,29:20371627,18606398:15989630,536337,170256
-x63,29:21573287,18606398
-k63,24:21810930,18606398:237643
-x63,24:23033826,18606398
-k63,25:23211989,18606398:178163
-x63,25:24653485,18606398
-x63,25:25658516,18606398
-k63,25:25836678,18606398:178162
-x63,25:26666348,18606398
-x63,25:27043839,18606398
-x63,25:27905755,18606398
-k63,25:28083917,18606398:178162
-x63,25:28870349,18606398
-k63,25:29048511,18606398:178162
-x63,25:29397682,18606398
-k63,25:29575844,18606398:178162
-x63,25:29969060,18606398
-k63,25:30147222,18606398:178162
-x63,25:30540438,18606398
-x63,25:31632773,18606398
-k63,25:31810936,18606398:178163
-x63,25:33732960,18606398
-k63,25:33911122,18606398:178162
-x63,25:34435656,18606398
-k63,25:34613818,18606398:178162
-x63,25:36361257,18606398
-k63,25:36361257,18606398:0
-)
-(63,29:20371627,19556670:15989630,536337,11002
-x63,29:21551275,19556670
-k63,25:21877645,19556670:326370
-x63,25:22882697,19556670
-k63,25:23209067,19556670:326370
-x63,25:24344670,19556670
-x63,25:24726097,19556670
-x63,25:25063479,19556670
-k63,25:25389848,19556670:326369
-x63,25:26350855,19556670
-k63,25:26677225,19556670:326370
-x63,25:28555196,19556670
-k63,25:28881566,19556670:326370
-x63,25:29580696,19556670
-x63,25:30104454,19556670
-x63,25:31340712,19556670
-k63,25:31973789,19556670:633077
-x63,25:33807735,19556670
-x63,25:35073880,19556670
-k63,25:35400250,19556670:326370
-x63,25:36361257,19556670
-k63,26:36361257,19556670:0
-)
-(63,29:20371627,20506942:15989630,541848,170256
-x63,29:20983463,20506942
-x63,29:21725850,20506942
-x63,29:22948736,20506942
-k63,26:23275503,20506942:326767
-x63,26:24017890,20506942
-x63,26:24978897,20506942
-k63,26:25305663,20506942:326766
-x63,26:25960758,20506942
-k63,26:26287525,20506942:326767
-x63,26:27859582,20506942
-k63,26:28186348,20506942:326766
-x63,26:28798184,20506942
-k63,26:29124951,20506942:326767
-x63,26:30085958,20506942
-x63,26:30634098,20506942
-k63,26:30960864,20506942:326766
-x63,26:31485398,20506942
-k63,26:31812165,20506942:326767
-x63,26:33559604,20506942
-k63,26:33886370,20506942:326766
-x63,26:34410914,20506942
-x63,26:35008599,20506942
-x63,26:35501693,20506942
-x63,26:36361257,20506942
-k63,26:36361257,20506942:0
-)
-(63,29:20371627,21457214:15989630,541848,170256
-x63,29:22293642,21457214
-k63,26:22564577,21457214:270935
-x63,26:26060233,21457214
-x63,26:26620161,21457214
-k63,26:26891096,21457214:270935
-x63,26:28813120,21457214
-k63,26:29084055,21457214:270935
-x63,26:29608589,21457214
-k63,26:29879523,21457214:270934
-x63,26:31713459,21457214
-k63,26:31984394,21457214:270935
-x63,26:32989446,21457214
-k63,27:33260381,21457214:270935
-x63,27:34614604,21457214
-x63,27:36361257,21457214
-k63,27:36361257,21457214:0
-)
-(63,29:20371627,22407486:15989630,536337,170256
-x63,29:20983463,22407486
-k63,27:21227189,22407486:243726
-x63,27:22188196,22407486
-k63,27:22431922,22407486:243726
-x63,27:23567525,22407486
-k63,27:23811250,22407486:243725
-x63,27:25733274,22407486
-k63,27:25977000,22407486:243726
-x63,27:26501534,22407486
-k63,27:26745260,22407486:243726
-x63,27:27837606,22407486
-x63,27:28385746,22407486
-x63,27:29390798,22407486
-k63,27:29634524,22407486:243726
-x63,27:30595531,22407486
-k63,27:30839257,22407486:243726
-x63,27:32128211,22407486
-k63,27:32513356,22407486:385145
-x63,27:33911615,22407486
-k63,27:34155341,22407486:243726
-x63,27:36361257,22407486
-k63,27:36361257,22407486:0
-)
-(63,29:20371627,23357758:15989630,536337,170256
-x63,29:21551254,23357758
-k63,27:21743758,23357758:192504
-x63,27:22704765,23357758
-k63,27:22897269,23357758:192504
-x63,27:23727725,23357758
-x63,27:24069033,23357758
-x63,27:24680869,23357758
-x63,27:26253733,23357758
-k63,27:26446236,23357758:192503
-x63,27:27625863,23357758
-k63,27:27818367,23357758:192504
-x63,27:28167538,23357758
-k63,27:28360042,23357758:192504
-x63,27:29321049,23357758
-x63,27:30740543,23357758
-k63,28:30933868,23357758:193325
-x63,28:32855892,23357758
-k63,28:33048395,23357758:192503
-x63,28:33572929,23357758
-k63,28:33765433,23357758:192504
-x63,28:34770485,23357758
-k63,28:34962989,23357758:192504
-x63,28:36361257,23357758
-k63,28:36361257,23357758:0
-)
-(63,29:20371627,24308030:15989630,536337,170256
-x63,29:22075021,24308030
-k63,28:22231353,24308030:156332
-x63,28:22886448,24308030
-k63,28:23042781,24308030:156333
-x63,28:24986817,24308030
-k63,28:25151204,24308030:164387
-x63,28:25675738,24308030
-k63,28:25832071,24308030:156333
-x63,28:27666007,24308030
-k63,28:27822339,24308030:156332
-x63,28:28827391,24308030
-k63,28:28983724,24308030:156333
-x63,28:30163351,24308030
-k63,28:30319683,24308030:156332
-x63,28:30756923,24308030
-k63,28:30913255,24308030:156332
-x63,28:32005601,24308030
-x63,28:32859655,24308030
-k63,28:33015988,24308030:156333
-x63,28:34676125,24308030
-k63,28:34832457,24308030:156332
-x63,28:36361257,24308030
-k63,28:36361257,24308030:0
-)
-(63,29:20371627,25258302:15989630,536337,9038
-x63,29:21026722,25258302
-g63,28:21223330,25258302
-x63,28:22184337,25258302
-g63,28:22380945,25258302
-x63,28:24149610,25258302
-k63,29:36361257,25258302:12211647
-g63,29:36361257,25258302
-)
-(63,37:20371627,26267435:15989630,536337,170256
-h63,30:20371627,26267435:770040,0,0
-x63,30:21796762,26267435
-k63,30:21969505,26267435:172743
-x63,30:22362721,26267435
-x63,30:23628866,26267435
-k63,30:23801609,26267435:172743
-x63,30:24413445,26267435
-k63,30:24586188,26267435:172743
-x63,30:26070943,26267435
-k63,30:26243686,26267435:172743
-x63,30:27204693,26267435
-k63,30:27377435,26267435:172742
-x63,30:28207891,26267435
-x63,30:28549199,26267435
-x63,30:29161035,26267435
-x63,30:30733899,26267435
-k63,30:30906642,26267435:172743
-x63,30:31561737,26267435
-k63,30:31734480,26267435:172743
-x63,30:33438662,26267435
-x63,30:34443714,26267435
-k63,30:34616457,26267435:172743
-x63,30:34965628,26267435
-k63,30:35138371,26267435:172743
-x63,30:36099378,26267435
-x63,30:36361257,26267435
-k63,37:36361257,26267435:0
-)
-(63,37:20371627,27217707:15989630,536337,170256
-x63,37:21594513,27217707
-k63,30:21843414,27217707:248901
-x63,30:22411205,27217707
-k63,30:22660105,27217707:248900
-x63,30:23621112,27217707
-k63,30:23870013,27217707:248901
-x63,30:25355564,27217707
-k63,30:25604465,27217707:248901
-x63,30:26609517,27217707
-x63,30:28378968,27217707
-k63,30:28640941,27217707:261973
-x63,30:29557913,27217707
-k63,30:29806814,27217707:248901
-x63,30:31334836,27217707
-k63,30:31583737,27217707:248901
-x63,30:33592259,27217707
-x63,30:34597311,27217707
-k63,30:34846211,27217707:248900
-x63,30:35763185,27217707
-k63,31:36012086,27217707:248901
-x63,31:36361257,27217707
-k63,31:36361257,27217707:0
-)
-(63,37:20371627,28167979:15989630,536337,170256
-x63,37:20939428,28167979
-x63,31:21673952,28167979
-k63,31:21944130,28167979:270178
-x63,31:22599225,28167979
-k63,31:22869402,28167979:270177
-x63,31:23655834,28167979
-x63,31:24203974,28167979
-k63,31:24474152,28167979:270178
-x63,31:25085988,28167979
-k63,31:25356165,28167979:270177
-x63,31:26579848,28167979
-k63,31:26850026,28167979:270178
-x63,31:27986396,28167979
-k63,31:28256573,28167979:270177
-x63,31:29742124,28167979
-k63,31:30012302,28167979:270178
-x63,31:31017354,28167979
-x63,31:32786805,28167979
-k63,31:33251305,28167979:464500
-x63,31:34125020,28167979
-x63,31:35130072,28167979
-k63,32:35400250,28167979:270178
-x63,32:36361257,28167979
-k63,32:36361257,28167979:0
-)
-(63,37:20371627,29118251:15989630,536337,170256
-x63,37:21725850,29118251
-x63,37:23254648,29118251
-k63,32:23439379,29118251:184731
-x63,32:24837637,29118251
-k63,32:25022368,29118251:184731
-x63,32:25677463,29118251
-k63,32:25862194,29118251:184731
-x63,32:26823201,29118251
-k63,32:27007932,29118251:184731
-x63,32:28579989,29118251
-k63,32:28764719,29118251:184730
-x63,32:29289253,29118251
-k63,32:29473984,29118251:184731
-x63,32:30479036,29118251
-k63,32:30663767,29118251:184731
-x63,32:31406154,29118251
-k63,32:31590885,29118251:184731
-x63,32:32333272,29118251
-x63,32:33381583,29118251
-x63,32:34255298,29118251
-x63,32:35172260,29118251
-k63,32:35356991,29118251:184731
-x63,32:36099378,29118251
-x63,32:36361257,29118251
-k63,37:36361257,29118251:0
-)
-(63,37:20371627,30068523:15989630,536337,170256
-x63,37:22511496,30068523
-k63,32:22774074,30068523:262578
-x63,32:24390166,30068523
-k63,32:24652744,30068523:262578
-x63,32:25613751,30068523
-k63,32:25876329,30068523:262578
-x63,32:27230552,30068523
-x63,32:28759350,30068523
-k63,32:29021929,30068523:262579
-x63,32:30637245,30068523
-k63,32:30899823,30068523:262578
-x63,32:32385364,30068523
-k63,33:32647942,30068523:262578
-x63,33:33303037,30068523
-k63,33:33565615,30068523:262578
-x63,33:34526622,30068523
-k63,33:34789200,30068523:262578
-x63,33:36361257,30068523
-k63,33:36361257,30068523:0
-)
-(63,37:20371627,31018795:15989630,536337,170256
-x63,37:21419938,31018795
-k63,33:21616779,31018795:196841
-x63,33:22359166,31018795
-x63,33:23800693,31018795
-x63,33:24019313,31018795
-x63,33:26159947,31018795
-k63,33:26356789,31018795:196842
-x63,33:27099176,31018795
-x63,33:27928846,31018795
-x63,33:28715257,31018795
-k63,33:28912098,31018795:196841
-x63,33:29523934,31018795
-k63,33:29720775,31018795:196841
-x63,33:30681782,31018795
-k63,33:30878623,31018795:196841
-x63,33:32450680,31018795
-k63,33:32647522,31018795:196842
-x63,33:33346652,31018795
-x63,33:33870410,31018795
-x63,33:34910060,31018795
-k63,33:35106901,31018795:196841
-x63,33:35717951,31018795
-x63,33:36099378,31018795
-x63,33:36361257,31018795
-k63,37:36361257,31018795:0
-)
-(63,37:20371627,31969067:15989630,536337,170256
-x63,37:21681805,31969067
-k63,33:21968401,31969067:286596
-x63,33:22623496,31969067
-k63,33:22910091,31969067:286595
-x63,33:24263528,31969067
-k63,33:24550124,31969067:286596
-x63,33:25511131,31969067
-k63,33:25797727,31969067:286596
-x63,33:27916351,31969067
-k63,33:28225443,31969067:309092
-x63,33:30147467,31969067
-k63,34:30434063,31969067:286596
-x63,34:31001864,31969067
-x63,34:32392269,31969067
-k63,34:32678864,31969067:286595
-x63,34:33683916,31969067
-k63,34:33970512,31969067:286596
-x63,34:34625607,31969067
-x63,34:35018823,31969067
-x63,34:36361257,31969067
-k63,34:36361257,31969067:0
-)
-(63,37:20371627,32919339:15989630,536337,170256
-x63,37:22686878,32919339
-k63,34:22971058,32919339:284180
-x63,34:23582894,32919339
-x63,34:24237989,32919339
-x63,34:25460875,32919339
-x63,34:26684547,32919339
-k63,34:26968727,32919339:284180
-x63,34:27580563,32919339
-k63,34:27864744,32919339:284181
-x63,34:28781706,32919339
-x63,34:29524093,32919339
-x63,34:30659675,32919339
-k63,34:30943855,32919339:284180
-x63,34:31904862,32919339
-k63,34:32189042,32919339:284180
-x63,34:33630538,32919339
-x63,34:34941483,32919339
-k63,34:35225663,32919339:284180
-x63,34:36099378,32919339
-x63,34:36361257,32919339
-k63,37:36361257,32919339:0
-)
-(63,37:20371627,33869611:15989630,536337,170256
-x63,37:21114014,33869611
-x63,37:21681805,33869611
-x63,37:22905477,33869611
-k63,34:23158943,33869611:253466
-x63,34:23770779,33869611
-k63,34:24024246,33869611:253467
-x63,34:24373417,33869611
-k63,34:24626883,33869611:253466
-x63,34:26460819,33869611
-k63,34:26714285,33869611:253466
-x63,34:28111757,33869611
-k63,35:28365224,33869611:253467
-x63,35:28933025,33869611
-x63,35:29667549,33869611
-x63,35:29813046,33869611
-k63,35:30227413,33869611:414367
-x63,35:31057880,33869611
-x63,35:32280766,33869611
-x63,35:34355355,33869611
-k63,35:34623036,33869611:267681
-x63,35:34972207,33869611
-k63,35:35225673,33869611:253466
-x63,35:36099378,33869611
-x63,35:36361257,33869611
-k63,37:36361257,33869611:0
-)
-(63,37:20371627,34819883:15989630,536337,170256
-x63,37:21900425,34819883
-x63,37:22730095,34819883
-k63,35:23011420,34819883:281325
-x63,35:24191047,34819883
-k63,35:24472373,34819883:281326
-x63,35:25127468,34819883
-x63,35:25520684,34819883
-x63,35:27169032,34819883
-k63,35:27450357,34819883:281325
-x63,35:27843573,34819883
-x63,35:28391703,34819883
-x63,35:29440004,34819883
-k63,35:29721330,34819883:281326
-x63,35:30376425,34819883
-k63,35:30657750,34819883:281325
-x63,35:31006921,34819883
-k63,35:31288247,34819883:281326
-x63,35:31987377,34819883
-x63,35:33297555,34819883
-k63,35:33578880,34819883:281325
-x63,35:34277222,34819883
-x63,35:35019609,34819883
-x63,35:35979830,34819883
-x63,35:36361257,34819883
-k63,35:36361257,34819883:0
-)
-(63,37:20371627,35770155:15989630,536337,170256
-x63,37:20939428,35770155
-x63,35:22329833,35770155
-g63,35:22526441,35770155
-x63,35:23268828,35770155
-g63,35:23465436,35770155
-x63,35:24077272,35770155
-g63,35:24273880,35770155
-x63,35:24623051,35770155
-x63,35:25004478,35770155
-x63,35:26140081,35770155
-x63,35:26664615,35770155
-x63,35:27038170,35770155
-x63,35:27375552,35770155
-g63,36:27572160,35770155
-x63,36:28227245,35770155
-g63,36:28423853,35770155
-x63,36:29778065,35770155
-g63,36:29974673,35770155
-x63,36:30629758,35770155
-g63,36:30826366,35770155
-x63,36:31831418,35770155
-g63,36:32028026,35770155
-x63,36:33382249,35770155
-x63,36:34473807,35770155
-x63,36:35980593,35770155
-k63,37:36361257,35770155:380664
-g63,37:36361257,35770155
-)
-(63,43:20371627,36779287:15989630,536337,170256
-h63,38:20371627,36779287:770040,0,0
-x63,38:23370381,36779287
-k63,38:23591757,36779287:221376
-x63,38:24508729,36779287
-k63,38:24730105,36779287:221376
-x63,38:25472492,36779287
-x63,38:27175886,36779287
-k63,38:27397263,36779287:221377
-x63,38:28009099,36779287
-k63,38:28230475,36779287:221376
-x63,38:29278776,36779287
-k63,38:29500152,36779287:221376
-x63,38:29849323,36779287
-k63,38:30070699,36779287:221376
-x63,38:31031716,36779287
-k63,38:31253068,36779287:221352
-x63,38:31514947,36779287
-k63,38:31736323,36779287:221376
-x63,38:33178615,36779287
-k63,38:33399991,36779287:221376
-x63,38:34448292,36779287
-x63,38:35409301,36779287
-x63,38:35562661,36779287
-k63,38:35880758,36779287:318097
-x63,38:36361257,36779287
-k63,38:36361257,36779287:0
-)
-(63,43:20371627,37729559:15989630,536337,170256
-x63,43:21857168,37729559
-k63,38:22079124,37729559:221956
-x63,38:23433347,37729559
-k63,38:23655303,37729559:221956
-x63,38:24267139,37729559
-k63,38:24489095,37729559:221956
-x63,38:25712778,37729559
-k63,38:25934734,37729559:221956
-x63,38:26939786,37729559
-k63,38:27161741,37729559:221955
-x63,38:28122748,37729559
-k63,38:28344704,37729559:221956
-x63,38:29174374,37729559
-x63,38:29523545,37729559
-x63,38:29960785,37729559
-x63,38:30334340,37729559
-x63,38:30671722,37729559
-k63,38:30893678,37729559:221956
-x63,38:31767393,37729559
-x63,38:32509780,37729559
-x63,38:33077571,37729559
-x63,38:34301243,37729559
-k63,39:34523199,37729559:221956
-x63,39:35178294,37729559
-k63,39:35400250,37729559:221956
-x63,39:36361257,37729559
-k63,39:36361257,37729559:0
-)
-(63,43:20371627,38679831:15989630,536337,170256
-x63,43:21813123,38679831
-x63,43:22818154,38679831
-k63,39:23038597,38679831:220443
-x63,39:23650433,38679831
-k63,39:23870877,38679831:220444
-x63,39:24831884,38679831
-k63,39:25052327,38679831:220443
-x63,39:26820992,38679831
-k63,39:27136290,38679831:315298
-x63,39:28446478,38679831
-k63,39:28666922,38679831:220444
-x63,39:29846570,38679831
-x63,39:30458406,38679831
-x63,39:31070242,38679831
-x63,39:32205845,38679831
-k63,39:32426288,38679831:220443
-x63,39:33212720,38679831
-k63,39:33433164,38679831:220444
-x63,39:34873893,38679831
-k63,39:35094336,38679831:220443
-x63,39:36361257,38679831
-k63,39:36361257,38679831:0
-)
-(63,43:20371627,39630103:15989630,536337,170256
-x63,43:21026722,39630103
-k63,39:21303112,39630103:276390
-x63,39:21652283,39630103
-k63,39:21928672,39630103:276389
-x63,39:23413446,39630103
-k63,39:23689836,39630103:276390
-x63,39:24257637,39630103
-x63,39:25648042,39630103
-k63,39:25924431,39630103:276389
-x63,39:26579526,39630103
-x63,39:26972742,39630103
-x63,39:28315176,39630103
-k63,39:28591566,39630103:276390
-x63,39:28984782,39630103
-k63,40:29261171,39630103:276389
-x63,40:30746712,39630103
-k63,40:31023102,39630103:276390
-x63,40:31678197,39630103
-k63,40:31954586,39630103:276389
-x63,40:32566422,39630103
-x63,40:33419689,39630103
-x63,40:34642575,39630103
-k63,40:34918965,39630103:276390
-x63,40:36361257,39630103
-k63,40:36361257,39630103:0
-)
-(63,43:20371627,40580375:15989630,536337,170256
-x63,43:20983463,40580375
-x63,43:21944470,40580375
-x63,43:22469004,40580375
-x63,43:23757937,40580375
-k63,40:24155710,40580375:397773
-x63,40:26033700,40580375
-k63,40:26281636,40580375:247936
-x63,40:27242643,40580375
-k63,40:27490578,40580375:247935
-x63,40:28932074,40580375
-x63,40:29937105,40580375
-k63,40:30185040,40580375:247935
-x63,40:30709574,40580375
-k63,40:30957509,40580375:247935
-x63,40:32268475,40580375
-x63,40:33666743,40580375
-k63,40:33914678,40580375:247935
-x63,40:36361257,40580375
-k63,40:36361257,40580375:0
-)
-(63,43:20371627,41530647:15989630,536337,170256
-x63,43:22314878,41530647
-k63,40:22509869,41530647:194991
-x63,40:23470876,41530647
-k63,40:23665462,41530647:194586
-x63,40:24845110,41530647
-x63,40:25456946,41530647
-x63,40:26068782,41530647
-x63,40:27510299,41530647
-k63,41:27704885,41530647:194586
-x63,41:28928546,41530647
-k63,41:29123132,41530647:194586
-x63,41:29734968,41530647
-x63,41:30108523,41530647
-x63,41:30445905,41530647
-k63,41:30640492,41530647:194587
-x63,41:31382879,41530647
-x63,41:31863378,41530647
-x63,41:32649810,41530647
-x63,41:32868430,41530647
-x63,41:33785392,41530647
-k63,41:33979978,41530647:194586
-x63,41:34897728,41530647
-x63,41:36361257,41530647
-k63,41:36361257,41530647:0
-)
-(63,43:20371627,42480919:15989630,536337,170256
-x63,43:21813144,42480919
-k63,41:22010842,42480919:197698
-x63,41:22578643,42480919
-x63,41:23313167,42480919
-k63,41:23510865,42480919:197698
-x63,41:24690492,42480919
-k63,41:24888190,42480919:197698
-x63,41:26460247,42480919
-k63,41:26657945,42480919:197698
-x63,41:27269781,42480919
-x63,41:28123048,42480919
-x63,41:29345934,42480919
-k63,41:29543632,42480919:197698
-x63,41:30286019,42480919
-x63,41:30766518,42480919
-x63,41:32208035,42480919
-k63,41:32405733,42480919:197698
-x63,41:33629394,42480919
-k63,41:33827092,42480919:197698
-x63,41:34569479,42480919
-k63,41:34767177,42480919:197698
-x63,41:36361257,42480919
-k63,41:36361257,42480919:0
-)
-(63,43:20371627,43431191:15989630,536337,170256
-x63,43:21594523,43431191
-k63,42:21791468,43431191:196945
-x63,42:23232964,43431191
-x63,42:24237995,43431191
-k63,42:24434940,43431191:196945
-x63,42:25658601,43431191
-k63,42:25855546,43431191:196945
-x63,42:26510641,43431191
-x63,42:27646223,43431191
-k63,42:27843168,43431191:196945
-x63,42:30027082,43431191
-k63,42:30224027,43431191:196945
-x63,42:31796084,43431191
-k63,42:31993029,43431191:196945
-x63,42:33456558,43431191
-k63,42:33653587,43431191:197029
-x63,42:36361257,43431191
-k63,42:36361257,43431191:0
-)
-(63,43:20371627,44381463:15989630,536337,170256
-x63,43:21812356,44381463
-k63,42:22003957,44381463:191601
-x63,42:22659052,44381463
-k63,42:22850653,44381463:191601
-x63,42:24466745,44381463
-k63,42:24658346,44381463:191601
-x63,42:26230403,44381463
-k63,42:26422004,44381463:191601
-x63,42:27164391,44381463
-x63,42:27644890,44381463
-x63,42:28737236,44381463
-k63,42:28928838,44381463:191602
-x63,42:29671225,44381463
-x63,42:30544154,44381463
-x63,42:32728846,44381463
-k63,42:32920447,44381463:191601
-x63,42:33532283,44381463
-k63,42:33723884,44381463:191601
-x63,42:34684891,44381463
-k63,42:34876492,44381463:191601
-x63,42:35531587,44381463
-x63,42:36099378,44381463
-x63,42:36361257,44381463
-k63,43:36361257,44381463:0
-)
-(63,43:20371627,45331735:15989630,536337,9038
-x63,43:21595299,45331735
-g63,42:21791907,45331735
-x63,42:23975821,45331735
-g63,42:24172429,45331735
-x63,42:25308032,45331735
-g63,42:25504640,45331735
-x63,42:26159735,45331735
-g63,42:26356343,45331735
-x63,42:27972435,45331735
-g63,42:28169043,45331735
-x63,42:28911430,45331735
-x63,42:29751334,45331735
-k63,43:36361257,45331735:6609923
-g63,43:36361257,45331735
-)
-(63,59:20371627,46340868:15989630,536337,170256
-h63,53:20371627,46340868:770040,0,0
-x63,53:21796762,46340868
-k63,53:22017335,46340868:220573
-x63,53:23066422,46340868
-x63,53:24070688,46340868
-k63,53:24291261,46340868:220573
-x63,53:24684477,46340868
-k63,53:24905051,46340868:220574
-x63,53:25822023,46340868
-k63,53:26042596,46340868:220573
-x63,53:27134154,46340868
-k63,53:27354727,46340868:220573
-x63,53:28555591,46340868
-k63,53:28782155,46340868:226564
-x63,53:29961782,46340868
-k63,53:30182356,46340868:220574
-x63,53:31798448,46340868
-k63,53:32019021,46340868:220573
-x63,53:32761408,46340868
-x63,53:33241907,46340868
-x63,53:34683424,46340868
-k63,53:34903997,46340868:220573
-x63,53:35646384,46340868
-x63,53:36023875,46340868
-x63,53:36361257,46340868
-k63,53:36361257,46340868:0
-)
-(63,59:20371627,47291140:15989630,536337,170256
-x63,59:21507230,47291140
-k63,53:21754711,47291140:247481
-x63,53:23108934,47291140
-x63,53:24507202,47291140
-k63,53:24754683,47291140:247481
-x63,53:25497070,47291140
-x63,53:25977569,47291140
-x63,53:27309780,47291140
-k63,53:27569979,47291140:260199
-x63,53:29492003,47291140
-k63,54:29739483,47291140:247480
-x63,54:30264017,47291140
-k63,54:30511498,47291140:247481
-x63,54:31865702,47291140
-x63,54:32870754,47291140
-k63,54:33118235,47291140:247481
-x63,54:33686026,47291140
-k63,54:33933507,47291140:247481
-x63,54:34741951,47291140
-k63,54:35138361,47291140:396410
-x63,54:36361257,47291140
-k63,54:36361257,47291140:0
-)
-(63,59:20371627,48241412:15989630,536337,170256
-x63,59:21988515,48241412
-k63,54:22221384,48241412:232869
-x63,54:23051840,48241412
-k63,54:23284708,48241412:232868
-x63,54:24682955,48241412
-k63,54:24915824,48241412:232869
-x63,54:25527660,48241412
-k63,54:25760529,48241412:232869
-x63,54:27375845,48241412
-k63,54:27608714,48241412:232869
-x63,54:28745084,48241412
-k63,54:28977952,48241412:232868
-x63,54:29720339,48241412
-k63,54:29953208,48241412:232869
-x63,54:30302379,48241412
-x63,54:30683806,48241412
-x63,54:31644813,48241412
-x63,54:32867699,48241412
-k63,54:33100568,48241412:232869
-x63,54:34192126,48241412
-k63,54:34424994,48241412:232868
-x63,54:35386001,48241412
-k63,54:35618870,48241412:232869
-x63,54:36361257,48241412
-k63,54:36361257,48241412:0
-)
-(63,59:20371627,49191684:15989630,536337,170256
-x63,59:21332634,49191684
-x63,54:22067158,49191684
-k63,54:22295309,49191684:228151
-x63,54:22950394,49191684
-k63,54:23178545,49191684:228151
-x63,54:24139552,49191684
-k63,55:24367703,49191684:228151
-x63,55:25984591,49191684
-k63,55:26212742,49191684:228151
-x63,55:26824578,49191684
-k63,55:27052730,49191684:228152
-x63,55:28450977,49191684
-k63,55:28679128,49191684:228151
-x63,55:30601152,49191684
-k63,55:30829303,49191684:228151
-x63,55:31353837,49191684
-k63,55:31581988,49191684:228151
-x63,55:32324375,49191684
-x63,55:33765095,49191684
-k63,55:33993246,49191684:228151
-x63,55:34910220,49191684
-k63,55:35138371,49191684:228151
-x63,55:36099378,49191684
-x63,55:36361257,49191684
-k63,59:36361257,49191684:0
-)
-(63,59:20371627,50141956:15989630,536337,170256
-x63,59:21376679,50141956
-k63,55:21582139,50141956:205460
-x63,55:21931310,50141956
-k63,55:22136771,50141956:205461
-x63,55:23097778,50141956
-x63,55:24517272,50141956
-k63,55:24787621,50141956:270349
-x63,55:25618088,50141956
-k63,55:25823549,50141956:205461
-x63,55:26784556,50141956
-k63,55:26990016,50141956:205460
-x63,55:28300194,50141956
-k63,55:28505654,50141956:205460
-x63,55:29030188,50141956
-k63,55:29235649,50141956:205461
-x63,55:29847485,50141956
-k63,55:30052945,50141956:205460
-x63,55:30795332,50141956
-x63,55:31800384,50141956
-x63,55:32935966,50141956
-k63,55:33141427,50141956:205461
-x63,55:34102434,50141956
-k63,55:34307894,50141956:205460
-x63,55:35487542,50141956
-x63,55:36099378,50141956
-x63,55:36361257,50141956
-k63,59:36361257,50141956:0
-)
-(63,59:20371627,51092228:15989630,536337,11002
-x63,59:21419928,51092228
-x63,59:22686851,51092228
-k63,56:22854240,51092228:167389
-x63,56:24164418,51092228
-x63,56:25474598,51092228
-x63,56:27353355,51092228
-k63,56:27520744,51092228:167389
-x63,56:28656347,51092228
-k63,56:28823735,51092228:167388
-x63,56:29610167,51092228
-x63,56:30551523,51092228
-x63,56:31905746,51092228
-k63,56:32073135,51092228:167389
-x63,56:33645978,51092228
-k63,56:33813367,51092228:167389
-x63,56:34425203,51092228
-k63,56:34592592,51092228:167389
-x63,56:36361257,51092228
-k63,56:36361257,51092228:0
-)
-]
-g63,59:36361257,51092228
-)
-)
-]
-(63,59:2797019,53889247:33564238,0,0
-h63,59:2797019,53889247:33564238,0,0
-)
-]
-]
-]
-)
-!60709
-}2
-Input:64:D:\Data\FH\8.Semester\MurSat\mur.sat\doc\MPU\inputs/SystemOverview.tex
-Input:65:D:\Data\FH\8.Semester\MurSat\mur.sat\doc\MPU\inputs/OperatingSystem.tex
-!171
-{3
-(65,32:4736286,53889247:31624971,49152961,0
-[65,32:4736286,53889247:31624971,49152961,0
-[65,32:4736286,4736286:0,0,0
-(65,32:4736286,680090:0,0,0
-k65,32:4736286,680090:2005048
-)
-]
-[65,32:4736286,53889247:31624971,49152961,0
-[65,32:2797019,53889247:33564238,53143376,0
-[65,32:2797019,2610550:33564238,1864679,0
-(65,32:2797019,2610550:33564238,0,0
-h65,32:2797019,2610550:33564238,0,0
-)
-]
-[65,32:2797019,51092228:33564238,45684659,0
-(65,32:2797019,51092228:33564238,45684659,0
-(65,32:2797019,51092228:15989630,45684659,0
-[65,11:2797019,51092228:15989630,45684659,0
-[63,51:2797019,29102314:15989630,23694745,0
-[63,51:2797019,29102314:15989630,23694745,0
-(63,49:2797019,26664375:15989630,21256806,0
-g63,49:2797019,26664375
-h63,48:2797019,26664375:0,0,0
-(63,48:2797019,26664375:15989630,21256806,0
-(63,48:2797019,26664375:15989672,21256858,0
-(63,48:2797019,26664375:15989672,21256858,0
-(63,48:2797019,26664375:0,52033372,0
-(63,48:2797019,26664375:39140147,52033372,0
-)
-k63,48:2797018,26664375:-39140148
-)
-)
-)
-)
-g63,49:18786649,26664375
-g63,49:18786649,26664375
-)
-(63,49:2797019,28834925:15989630,1515190,267389
-h63,49:2797019,28834925:0,0,0
-g63,49:4661698,28834925
-[63,49:4661698,28834925:12260272,1515190,267389
-(63,49:4661698,27943635:12260272,623900,155717
-h63,49:4661698,27943635:0,0,0
-(63,49:4661698,27943635:3277313,523502,155717
-x63,49:6854718,27943635
-g63,49:7054209,27943635
-x63,49:7692164,27943635
-g63,49:7939011,27943635
-)
-r65,32:7939011,27943635:0,779617,155717
-k63,49:7939011,27943635:0
-x63,49:8656620,27943635
-x63,49:9095075,27943635
-x63,49:10330802,27943635
-k63,49:10672896,27943635:342094
-x63,49:11590005,27943635
-x63,49:12507114,27943635
-k63,49:12849209,27943635:342095
-x63,49:13446982,27943635
-k63,49:13789076,27943635:342094
-x63,49:14147885,27943635
-k63,49:14489979,27943635:342094
-x63,49:15566406,27943635
-x63,49:16124706,27943635
-x63,49:16683006,27943635
-x63,49:16921970,27943635
-k63,49:16921970,27943635:0
-)
-(63,49:7939011,28834925:8982959,489408,267389
-x63,49:9433797,28834925
-r65,32:9433797,28834925:0,267389,267389
-k63,49:16921970,28834925:7488173
-g63,49:16921970,28834925
-)
-]
-k63,49:18786649,28834925:1864679
-g63,49:18786649,28834925
-)
-]
-]
-(63,59:2797019,32092265:15989630,536337,170256
-x63,59:3626699,32092265
-x63,59:4456369,32092265
-k63,56:4743764,32092265:287395
-x63,56:7758124,32092265
-k63,56:8045518,32092265:287394
-x63,56:9181121,32092265
-k63,56:9468516,32092265:287395
-x63,56:10385478,32092265
-x63,56:11127865,32092265
-x63,56:11914276,32092265
-x63,56:12919328,32092265
-k63,56:13206722,32092265:287394
-x63,56:13555893,32092265
-k63,56:13843288,32092265:287395
-x63,56:14541630,32092265
-x63,56:15983136,32092265
-k63,57:16270530,32092265:287394
-x63,57:17887418,32092265
-k63,57:18174813,32092265:287395
-x63,57:18786649,32092265
-k63,57:18786649,32092265:0
-)
-(63,59:2797019,33042537:15989630,536337,170256
-x63,59:4195266,33042537
-k63,57:4455139,33042537:259873
-x63,57:5263583,33042537
-k63,57:5539273,33042537:275690
-x63,57:6500280,33042537
-k63,57:6760154,33042537:259874
-x63,57:8201650,33042537
-x63,57:9206681,33042537
-k63,57:9466554,33042537:259873
-x63,57:10558112,33042537
-k63,57:10817985,33042537:259873
-x63,57:11560372,33042537
-k63,57:11820246,33042537:259874
-x63,57:12868547,33042537
-x63,57:13603071,33042537
-k63,57:13862944,33042537:259873
-x63,57:14474780,33042537
-k63,57:14734654,33042537:259874
-x63,57:15695661,33042537
-x63,57:16036969,33042537
-k63,57:16296842,33042537:259873
-x63,57:17257849,33042537
-x63,57:18786649,33042537
-k63,57:18786649,33042537:0
-)
-(63,59:2797019,33992809:15989630,536337,170256
-x63,59:3364810,33992809
-k63,57:3586269,33992809:221459
-x63,57:4394713,33992809
-k63,57:4713058,33992809:318345
-x63,57:5236816,33992809
-k63,57:5458276,33992809:221460
-x63,57:7074368,33992809
-k63,57:7295827,33992809:221459
-x63,57:8256834,33992809
-x63,57:9785634,33992809
-k63,58:10007093,33992809:221459
-x63,58:10967314,33992809
-k63,58:11188773,33992809:221459
-x63,58:12193825,33992809
-k63,58:12415284,33992809:221459
-x63,58:13288989,33992809
-x63,58:13813523,33992809
-x63,58:14468618,33992809
-x63,58:15670278,33992809
-k63,58:15897950,33992809:227672
-x63,58:16509786,33992809
-k63,58:16731245,33992809:221459
-x63,58:17822803,33992809
-k63,58:18044262,33992809:221459
-x63,58:18786649,33992809
-k63,58:18786649,33992809:0
-)
-(63,59:2797019,34943081:15989630,536337,170256
-x63,59:4544458,34943081
-g63,58:4741066,34943081
-x63,58:5527498,34943081
-x63,58:6183358,34943081
-g63,58:6379966,34943081
-x63,58:7340973,34943081
-g63,58:7537581,34943081
-x63,58:8148631,34943081
-x63,58:9590915,34943081
-g63,58:9787523,34943081
-x63,58:10443394,34943081
-g63,58:10640002,34943081
-x63,58:11251838,34943081
-g63,58:11448446,34943081
-x63,58:12409453,34943081
-g63,58:12606061,34943081
-x63,58:12955232,34943081
-x63,58:13336659,34943081
-x63,58:15389224,34943081
-g63,58:15585832,34943081
-x63,58:16590884,34943081
-x63,58:18490886,34943081
-k63,59:18786649,34943081:295763
-g63,59:18786649,34943081
-)
-(64,5:2797019,40322782:15989630,1009250,432542
-g64,5:4559123,40322782
-r65,32:4559123,40322782:0,1441792,432542
-(64,5:4559123,40322782:0,1009250,432542
-k64,5:2797019,40322782:-1762104
-(64,5:2797019,40322782:1762104,1009250,432542
-x64,5:3426661,40322782
-g64,5:4559123,40322782
-r65,32:4559123,40322782:0,1441792,432542
-g64,5:4559123,40322782
-)
-)
-x64,5:8587244,40322782
-g64,5:8902057,40322782
-x64,5:11482901,40322782
-x64,5:13068320,40322782
-x64,5:13932388,40322782
-r65,32:13932388,40322782:0,1441792,432542
-k64,5:18786649,40322782:4854261
-g64,5:18786649,40322782
-)
-(64,13:2797019,43043653:15989630,536337,170256
-x64,13:4019915,43043653
-k64,8:4187585,43043653:167670
-x64,8:5629081,43043653
-x64,8:6634112,43043653
-k64,8:6801781,43043653:167669
-x64,8:7806825,43043653
-x64,8:8986452,43043653
-k64,8:9154122,43043653:167670
-x64,8:10289725,43043653
-x64,8:11644707,43043653
-k64,8:11812377,43043653:167670
-x64,8:12467472,43043653
-k64,8:12635142,43043653:167670
-x64,8:13290227,43043653
-x64,8:13663782,43043653
-x64,8:14830834,43043653
-k64,8:14998503,43043653:167669
-x64,8:16724697,43043653
-k64,8:16958843,43043653:234146
-x64,8:17963884,43043653
-k64,8:18131554,43043653:167670
-x64,8:18786649,43043653
-k64,8:18786649,43043653:0
-)
-(64,13:2797019,43993925:15989630,536337,170256
-x64,13:4413111,43993925
-k64,8:4646343,43993925:233232
-x64,8:6175143,43993925
-k64,8:6408375,43993925:233232
-x64,8:7368596,43993925
-k64,8:7601829,43993925:233233
-x64,8:9828990,43993925
-k64,8:10062222,43993925:233232
-x64,8:10674058,43993925
-k64,8:10907290,43993925:233232
-x64,8:11781005,43993925
-x64,8:12655496,43993925
-k64,8:12888728,43993925:233232
-x64,8:13849735,43993925
-k64,8:14082968,43993925:233233
-x64,8:15655803,43993925
-k64,9:15889035,43993925:233232
-x64,9:16850042,43993925
-k64,9:17083274,43993925:233232
-x64,9:18524770,43993925
-x64,9:18786649,43993925
-k64,13:18786649,43993925:0
-)
-(64,13:2797019,44944197:15989630,536337,9038
-x64,13:3452879,44944197
-k64,9:3749231,44944197:296352
-x64,9:5234772,44944197
-k64,9:5531124,44944197:296352
-x64,9:6492131,44944197
-x64,9:7030047,44944197
-k64,9:7573071,44944197:543024
-x64,9:8795967,44944197
-k64,9:9092318,44944197:296351
-x64,9:10533814,44944197
-x64,9:11538845,44944197
-k64,9:11835197,44944197:296352
-x64,9:13320738,44944197
-k64,9:13617090,44944197:296352
-x64,9:14359477,44944197
-k64,9:14655829,44944197:296352
-x64,9:15966007,44944197
-k64,9:16262359,44944197:296352
-x64,9:16874195,44944197
-k64,9:17170547,44944197:296352
-x64,9:18524770,44944197
-x64,9:18786649,44944197
-k64,13:18786649,44944197:0
-)
-(64,13:2797019,45894469:15989630,536337,170256
-x64,13:3802071,45894469
-x64,13:4413907,45894469
-x64,13:5680040,45894469
-k64,9:5996097,45894469:316057
-x64,9:6957104,45894469
-k64,9:7273161,45894469:316057
-x64,9:7884211,45894469
-x64,9:9085871,45894469
-k64,9:9431790,45894469:345919
-x64,9:9956324,45894469
-k64,10:10272381,45894469:316057
-x64,10:12762207,45894469
-k64,10:13078264,45894469:316057
-x64,10:14476521,45894469
-k64,10:14792578,45894469:316057
-x64,10:15141749,45894469
-k64,10:15457806,45894469:316057
-x64,10:16767984,45894469
-x64,10:17728205,45894469
-k64,10:18044262,45894469:316057
-x64,10:18786649,45894469
-k64,10:18786649,45894469:0
-)
-(64,13:2797019,46844741:15989630,536337,170256
-x64,13:4806326,46844741
-x64,13:8038540,46844741
-k64,10:8317070,46844741:278530
-x64,10:9452673,46844741
-k64,10:9731202,46844741:278529
-x64,10:10080373,46844741
-k64,10:10358903,46844741:278530
-x64,10:11189359,46844741
-x64,10:12193625,46844741
-x64,10:14312268,46844741
-k64,10:14611278,46844741:299010
-x64,10:15179069,46844741
-x64,10:16708655,46844741
-k64,10:16987184,46844741:278529
-x64,10:17817640,46844741
-x64,10:18158948,46844741
-k64,10:18437478,46844741:278530
-x64,10:18786649,46844741
-k64,10:18786649,46844741:0
-)
-(64,13:2797019,47795013:15989630,536337,170256
-x64,13:4151242,47795013
-x64,13:5768130,47795013
-k64,10:6016452,47795013:248322
-x64,10:6933424,47795013
-x64,10:7326640,47795013
-x64,10:8833426,47795013
-k64,10:9232361,47795013:398935
-x64,10:10062828,47795013
-x64,10:11285714,47795013
-x64,10:13163695,47795013
-k64,11:13412017,47795013:248322
-x64,11:14984074,47795013
-k64,11:15232397,47795013:248323
-x64,11:16192618,47795013
-k64,11:16440940,47795013:248322
-x64,11:16834156,47795013
-k64,11:17082478,47795013:248322
-x64,11:18786649,47795013
-k64,13:18786649,47795013:0
-)
-(64,13:2797019,48745285:15989630,536337,170256
-x64,13:5112243,48745285
-k64,11:5287999,48745285:175756
-x64,11:6423602,48745285
-k64,11:6599358,48745285:175756
-x64,11:6992574,48745285
-k64,11:7168330,48745285:175756
-x64,11:8347957,48745285
-x64,11:9090344,48745285
-x64,11:9701394,48745285
-x64,11:10924280,48745285
-k64,11:11100036,48745285:175756
-x64,11:12148337,48745285
-x64,11:13415260,48745285
-k64,11:13591016,48745285:175756
-x64,11:14377448,48745285
-k64,11:14553204,48745285:175756
-x64,11:16540510,48745285
-k64,11:16777351,48745285:236841
-x64,11:18786649,48745285
-k64,12:18786649,48745285:0
-)
-(64,13:2797019,49695557:15989630,536337,170256
-x64,13:3408069,49695557
-x64,13:4280998,49695557
-g64,12:4477606,49695557
-x64,12:5089442,49695557
-g64,12:5286050,49695557
-x64,12:6116517,49695557
-x64,12:7120783,49695557
-g64,12:7317391,49695557
-x64,12:7710607,49695557
-g64,12:7907215,49695557
-x64,12:8519051,49695557
-g64,12:8715659,49695557
-x64,12:9676666,49695557
-g64,12:9873274,49695557
-x64,12:10615661,49695557
-g64,12:10812269,49695557
-x64,12:11205485,49695557
-x64,12:11586912,49695557
-x64,12:11924294,49695557
-x64,12:12972584,49695557
-g64,12:13169192,49695557
-x64,12:14130199,49695557
-x64,12:15549693,49695557
-k64,13:18786649,49695557:3236956
-g64,13:18786649,49695557
-)
-(1,15:2797019,51092228:15989630,536337,170256
-h64,22:2797019,51092228:770040,0,0
-x64,22:4440774,51092228
-g64,22:4637382,51092228
-x64,22:5729728,51092228
-x64,22:6671084,51092228
-g64,22:6867692,51092228
-x64,22:7479528,51092228
-g64,22:7676136,51092228
-x64,22:8637143,51092228
-g64,22:8833751,51092228
-x64,22:10887104,51092228
-g64,22:11083712,51092228
-x64,22:11476928,51092228
-x64,22:11673536,51092228
-g64,22:11870144,51092228
-x64,22:13442201,51092228
-g64,22:13638809,51092228
-x64,22:14599030,51092228
-g64,22:14795638,51092228
-x64,22:16149861,51092228
-x64,22:16531288,51092228
-g64,22:16727896,51092228
-x64,22:18453304,51092228
-g64,22:18697096,51092228
-k1,15:18786649,51092228:89553
-g1,15:18786649,51092228
-)
-]
-g65,32:18786649,51092228
-)
-k65,32:19579138,51092228:792489
-r65,32:19579138,51092228:0,45684659,0
-k65,32:20371627,51092228:792489
-(65,32:20371627,51092228:15989630,45684659,0
-[65,32:20371627,51092228:15989630,45684659,0
-[64,20:20371627,27646441:15989630,22238872,0
-[64,20:20371627,27646441:15989630,22238872,0
-(64,18:20371627,26099792:15989630,20692223,0
-g64,18:20371627,26099792
-h64,17:20371627,26099792:0,0,0
-(64,17:20371627,26099792:15989630,20692223,0
-(64,17:20371627,26099792:15989484,20692274,0
-(64,17:20371627,26099792:15989484,20692274,0
-(64,17:20371627,26099792:0,52099154,0
-(64,17:20371627,26099792:40258437,52099154,0
-)
-k64,17:20371628,26099792:-40258436
-)
-)
-)
-)
-g64,18:36361257,26099792
-g64,18:36361257,26099792
-)
-(64,18:20371627,27379052:15989630,623900,267389
-h64,18:20371627,27379052:0,0,0
-g64,18:22236306,27379052
-[64,18:22236306,27379052:12260272,623900,267389
-(64,18:22236306,27379052:12260272,623900,267389
-k64,18:22350349,27379052:114043
-h64,18:22350349,27379052:0,0,0
-x64,18:24543369,27379052
-g64,18:24742860,27379052
-x64,18:25380815,27379052
-g64,18:25627662,27379052
-r65,32:25627662,27379052:0,891289,267389
-g64,18:25627662,27379052
-x64,18:27741017,27379052
-g64,18:27920421,27379052
-x64,18:31269521,27379052
-g64,18:31448925,27379052
-x64,18:32325852,27379052
-x64,18:33749594,27379052
-x64,18:34249771,27379052
-x64,18:34382536,27379052
-r65,32:34382536,27379052:0,267389,267389
-g64,18:34382536,27379052
-k64,18:34496578,27379052:114042
-)
-]
-k64,18:36361257,27379052:1864679
-g64,18:36361257,27379052
-)
-]
-]
-(65,5:20371627,30006795:15989630,1009250,432542
-g65,5:22133731,30006795
-r65,32:22133731,30006795:0,1441792,432542
-(65,5:22133731,30006795:0,1009250,432542
-k65,5:20371627,30006795:-1762104
-(65,5:20371627,30006795:1762104,1009250,432542
-x65,5:21001269,30006795
-g65,5:22133731,30006795
-r65,32:22133731,30006795:0,1441792,432542
-g65,5:22133731,30006795
-)
-)
-x65,5:27482292,30006795
-g65,5:27797105,30006795
-x65,5:31825226,30006795
-r65,32:31825226,30006795:0,1441792,432542
-k65,5:36361257,30006795:4536031
-g65,5:36361257,30006795
-)
-(65,11:20371627,31861054:15989630,536337,170256
-x65,11:21594523,31861054
-k65,8:21896526,31861054:302003
-x65,8:23643956,31861054
-x65,8:24596314,31861054
-k65,8:24898317,31861054:302003
-x65,8:27344896,31861054
-k65,8:27646899,31861054:302003
-x65,8:28433331,31861054
-k65,8:28735334,31861054:302003
-x65,8:29696341,31861054
-k65,8:29998344,31861054:302003
-x65,8:31702526,31861054
-k65,8:32004423,31861054:301897
-x65,8:32528957,31861054
-k65,8:32830960,31861054:302003
-x65,8:34621648,31861054
-k65,8:34923651,31861054:302003
-x65,8:35710083,31861054
-k65,8:36012086,31861054:302003
-x65,8:36361257,31861054
-k65,8:36361257,31861054:0
-)
-(65,11:20371627,32811326:15989630,536337,170256
-x65,11:22774140,32811326
-x65,8:23147695,32811326
-x65,8:23485077,32811326
-k65,8:23643558,32811326:158481
-x65,8:24822399,32811326
-k65,8:24980879,32811326:158480
-x65,8:26379126,32811326
-k65,8:26537607,32811326:158481
-x65,8:29507932,32811326
-k65,8:29666413,32811326:158481
-x65,8:32156998,32811326
-k65,8:32315478,32811326:158480
-x65,8:33451081,32811326
-k65,9:33609562,32811326:158481
-x65,9:36361257,32811326
-k65,9:36361257,32811326:0
-)
-(65,11:20371627,33761598:15989630,536337,170256
-x65,11:21332634,33761598
-k65,9:21574787,33761598:242153
-x65,9:23147622,33761598
-k65,9:23389775,33761598:242153
-x65,9:24350782,33761598
-k65,9:24592935,33761598:242153
-x65,9:27039462,33761598
-k65,9:27281615,33761598:242153
-x65,9:28329916,33761598
-k65,9:28572069,33761598:242153
-x65,9:29183905,33761598
-k65,9:29426057,33761598:242152
-x65,9:30299772,33761598
-x65,9:31370871,33761598
-k65,9:31751298,33761598:380427
-x65,9:32974194,33761598
-k65,10:33216347,33761598:242153
-x65,10:36361257,33761598
-k65,10:36361257,33761598:0
-)
-(65,11:20371627,34711870:15989630,536337,170256
-x65,11:22752906,34711870
-k65,10:23017082,34711870:264176
-x65,10:23803514,34711870
-k65,10:24054177,34711870:250663
-x65,10:25976201,34711870
-k65,10:26226863,34711870:250662
-x65,10:27187870,34711870
-k65,10:27438533,34711870:250663
-x65,10:29360557,34711870
-k65,10:29611219,34711870:250662
-x65,10:31358649,34711870
-x65,10:32311007,34711870
-k65,10:32561670,34711870:250663
-x65,10:33086204,34711870
-k65,10:33336866,34711870:250662
-x65,10:35127554,34711870
-k65,10:35378217,34711870:250663
-x65,10:36361257,34711870
-k65,10:36361257,34711870:0
-)
-(65,11:20371627,35662142:15989630,536337,11002
-x65,11:20896161,35662142
-g65,10:21092769,35662142
-x65,10:23014785,35662142
-x65,10:23448109,35662142
-x65,10:24635618,35662142
-k65,11:36361257,35662142:11725639
-g65,11:36361257,35662142
-)
-(65,13:20371627,36632607:15989630,454245,112662
-h65,12:20371627,36632607:770040,0,0
-$65,12:21141667,36632607
-x65,12:22863951,36632607
-g65,12:22863951,36632607
-x65,12:23294522,36632607
-g65,12:23294522,36632607
-x65,12:23725093,36632607
-g65,12:23725093,36632607
-x65,12:24155664,36632607
-g65,12:24155664,36632607
-x65,12:25447377,36632607
-g65,12:25447377,36632607
-x65,12:25877948,36632607
-g65,12:25877948,36632607
-x65,12:29322516,36632607
-g65,12:29322516,36632607
-x65,12:29753087,36632607
-g65,12:29753087,36632607
-x65,12:31044800,36632607
-$65,12:31044800,36632607
-k65,13:36361257,36632607:5316457
-g65,13:36361257,36632607
-)
-(65,14:20371627,39037232:15989630,825750,353898
-g65,14:22627091,39037232
-r65,32:22627091,39037232:0,1179648,353898
-(65,14:22627091,39037232:0,825750,353898
-k65,14:20371627,39037232:-2255464
-(65,14:20371627,39037232:2255464,825750,353898
-x65,14:21683373,39037232
-g65,14:22627091,39037232
-r65,32:22627091,39037232:0,1179648,353898
-g65,14:22627091,39037232
-)
-)
-x65,14:25301561,39037232
-x65,14:25859301,39037232
-x65,14:27185216,39037232
-r65,32:27185216,39037232:0,1179648,353898
-k65,14:36361257,39037232:9176041
-g65,14:36361257,39037232
-)
-(65,19:20371627,40536465:15989630,536337,170256
-x65,19:22293643,40536465
-x65,16:22726967,40536465
-x65,16:23717868,40536465
-k65,16:24075444,40536465:357576
-x65,16:24599978,40536465
-k65,16:24957715,40536465:357737
-x65,16:25306886,40536465
-k65,16:25664623,40536465:357737
-x65,16:26668889,40536465
-x65,16:27629896,40536465
-x65,16:28067136,40536465
-x65,16:28440691,40536465
-x65,16:28778073,40536465
-k65,16:29135810,40536465:357737
-x65,16:30359482,40536465
-x65,16:30796722,40536465
-x65,16:32063643,40536465
-x65,16:33068695,40536465
-k65,16:33426432,40536465:357737
-x65,16:34605273,40536465
-k65,16:34963010,40536465:357737
-x65,16:36361257,40536465
-k65,16:36361257,40536465:0
-)
-(65,19:20371627,41486737:15989630,536337,170256
-x65,19:21158059,41486737
-x65,19:21507230,41486737
-x65,19:22336900,41486737
-x65,19:23341952,41486737
-k65,16:23684547,41486737:342595
-x65,16:25759912,41486737
-k65,16:26441665,41486737:681753
-x65,16:26922164,41486737
-k65,16:27264759,41486737:342595
-x65,16:27919854,41486737
-x65,16:28313070,41486737
-x65,16:29961418,41486737
-k65,16:30304013,41486737:342595
-x65,16:31876856,41486737
-x65,16:32969202,41486737
-k65,16:33311797,41486737:342595
-x65,16:34228771,41486737
-k65,16:34571366,41486737:342595
-x65,16:35531587,41486737
-x65,16:36099378,41486737
-x65,16:36361257,41486737
-k65,19:36361257,41486737:0
-)
-(65,19:20371627,42437009:15989630,536337,170256
-x65,19:21376679,42437009
-k65,17:21539029,42437009:162350
-x65,17:22674632,42437009
-k65,17:22836982,42437009:162350
-x65,17:24846290,42437009
-x65,17:25851342,42437009
-k65,17:26013692,42437009:162350
-x65,17:27586527,42437009
-k65,17:27748877,42437009:162350
-x65,17:28884480,42437009
-k65,17:29046830,42437009:162350
-x65,17:29877286,42437009
-x65,17:30838293,42437009
-x65,17:32717050,42437009
-k65,17:32879400,42437009:162350
-x65,17:35063304,42437009
-k65,17:35225654,42437009:162350
-x65,17:36361257,42437009
-k65,17:36361257,42437009:0
-)
-(65,19:20371627,43387281:15989630,536337,170256
-x65,19:24084331,43387281
-k65,17:24314650,43387281:230319
-x65,17:25231624,43387281
-k65,17:25461944,43387281:230320
-x65,17:26816167,43387281
-x65,17:27821219,43387281
-x65,17:28433055,43387281
-x65,17:29131397,43387281
-x65,17:30355069,43387281
-k65,17:30585388,43387281:230319
-x65,17:31327775,43387281
-x65,17:33402362,43387281
-k65,18:33641110,43387281:238748
-x65,18:34776713,43387281
-k65,18:35007032,43387281:230319
-x65,18:36099378,43387281
-x65,18:36361257,43387281
-k65,19:36361257,43387281:0
-)
-(65,19:20371627,44337553:15989630,536337,9038
-x65,19:21769109,44337553
-x65,19:24390253,44337553
-g65,18:24586861,44337553
-x65,18:25241956,44337553
-g65,18:25438564,44337553
-x65,18:26399571,44337553
-g65,18:26596179,44337553
-x65,18:28365622,44337553
-k65,19:36361257,44337553:7995635
-g65,19:36361257,44337553
-)
-(65,20:20371627,46742179:15989630,825750,353898
-g65,20:22627091,46742179
-r65,32:22627091,46742179:0,1179648,353898
-(65,20:22627091,46742179:0,825750,353898
-k65,20:20371627,46742179:-2255464
-(65,20:20371627,46742179:2255464,825750,353898
-x65,20:21683373,46742179
-g65,20:22627091,46742179
-r65,32:22627091,46742179:0,1179648,353898
-g65,20:22627091,46742179
-)
-)
-x65,20:24724017,46742179
-g65,20:24986361,46742179
-x65,20:25562971,46742179
-x65,20:27586283,46742179
-r65,32:27586283,46742179:0,1179648,353898
-k65,20:36361257,46742179:8774974
-g65,20:36361257,46742179
-)
-(65,32:20371627,48241412:15989630,536337,170256
-x65,32:21594523,48241412
-k65,22:21824956,48241412:230433
-x65,22:23529138,48241412
-k65,22:23759538,48241412:230400
-x65,22:25026459,48241412
-k65,22:25256892,48241412:230433
-x65,22:26611115,48241412
-x65,22:28664468,48241412
-k65,22:28894901,48241412:230433
-x65,22:30423711,48241412
-k65,22:30654144,48241412:230433
-x65,22:31615151,48241412
-k65,22:31845585,48241412:230434
-x65,22:32457421,48241412
-x65,22:33005561,48241412
-k65,22:33235994,48241412:230433
-x65,22:33803785,48241412
-x65,22:34177340,48241412
-x65,22:34733342,48241412
-k65,22:34963775,48241412:230433
-x65,22:36099378,48241412
-x65,22:36361257,48241412
-k65,32:36361257,48241412:0
-)
-(65,32:20371627,49191684:15989630,536337,11002
-x65,32:21463962,49191684
-k65,22:21827659,49191684:363697
-x65,22:22439495,49191684
-x65,22:23007286,49191684
-x65,22:23531044,49191684
-x65,22:24570694,49191684
-k65,22:24934390,49191684:363696
-x65,22:26331871,49191684
-k65,22:26695401,49191684:363530
-x65,22:27831004,49191684
-k65,22:28194701,49191684:363697
-x65,22:29723511,49191684
-k65,22:30087208,49191684:363697
-x65,22:31048215,49191684
-k65,22:31411911,49191684:363696
-x65,22:33071270,49191684
-x65,22:34032277,49191684
-k65,22:34395974,49191684:363697
-x65,22:36099378,49191684
-x65,22:36361257,49191684
-k65,32:36361257,49191684:0
-)
-(65,32:20371627,50141956:15989630,536337,170256
-x65,32:21725850,50141956
-k65,22:22101691,50141956:375841
-x65,22:22582200,50141956
-k65,23:22101691,50141956:-27524
-x65,23:24781818,50141956
-x65,23:25155373,50141956
-x65,23:25754634,50141956
-x65,23:25907994,50141956
-k65,23:26689484,50141956:781490
-x65,23:27912380,50141956
-k65,24:28288221,50141956:375841
-x65,24:29685702,50141956
-k65,24:30061363,50141956:375661
-x65,24:31808794,50141956
-k65,24:32184635,50141956:375841
-x65,24:34369314,50141956
-k65,24:34745155,50141956:375841
-x65,24:36099378,50141956
-x65,24:36361257,50141956
-k65,32:36361257,50141956:0
-)
-(65,32:20371627,51092228:15989630,536337,170256
-x65,32:22424980,51092228
-k65,24:22808988,51092228:384008
-x65,24:24731012,51092228
-k65,24:25115021,51092228:384009
-x65,24:26075242,51092228
-k65,24:26459250,51092228:384008
-x65,24:27070300,51092228
-x65,24:28599098,51092228
-k65,24:28983107,51092228:384009
-x65,24:29594943,51092228
-k65,24:29978951,51092228:384008
-x65,24:30939958,51092228
-k65,24:31323967,51092228:384009
-x65,24:32110399,51092228
-x65,24:33269589,51092228
-k65,24:33653597,51092228:384008
-x65,24:35007820,51092228
-x65,24:36099378,51092228
-x65,24:36361257,51092228
-k65,32:36361257,51092228:0
-)
-]
-g65,32:36361257,51092228
-)
-)
-]
-(65,32:2797019,53889247:33564238,0,0
-h65,32:2797019,53889247:33564238,0,0
-)
-]
-]
-]
-)
-!22733
-}3
-Input:66:D:\Programs\MiKTeX 2.9\tex\latex\psnfss\omsptm.fd
-Input:67:D:\Programs\MiKTeX 2.9\tex\latex\psnfss\ts1ptm.fd
-!128
-{4
-(65,100:4736286,53889247:31624971,49152961,0
-[65,100:4736286,53889247:31624971,49152961,0
-[65,100:4736286,4736286:0,0,0
-(65,100:4736286,680090:0,0,0
-k65,100:4736286,680090:2005048
-)
-]
-[65,100:4736286,53889247:31624971,49152961,0
-[65,100:2797019,53889247:33564238,53143376,0
-[65,100:2797019,2610550:33564238,1864679,0
-(65,100:2797019,2610550:33564238,0,0
-h65,100:2797019,2610550:33564238,0,0
-)
-]
-[65,100:2797019,51092228:33564238,45684659,0
-(65,100:2797019,51092228:33564238,45684659,0
-(65,100:2797019,51092228:15989630,45684659,0
-[65,66:2797019,51092228:15989630,45684659,0
-(65,32:2797019,6194001:15989630,536337,170256
-x65,32:4566470,6194001
-k65,24:4821504,6194001:255034
-x65,24:7529174,6194001
-k65,24:7772523,6194001:243349
-x65,24:8733530,6194001
-k65,24:8976878,6194001:243348
-x65,24:10243021,6194001
-x65,24:10636237,6194001
-x65,24:11589381,6194001
-k65,24:11832730,6194001:243349
-x65,24:13536134,6194001
-x65,24:14890357,6194001
-k65,25:15133706,6194001:243349
-x65,25:15614215,6194001
-x65,25:17813833,6194001
-x65,25:18187388,6194001
-x65,25:18786649,6194001
-k65,25:18786649,6194001:0
-)
-(65,32:2797019,7144273:15989630,536337,11002
-x65,32:3321553,7144273
-k65,25:3550733,7144273:229180
-x65,25:4511740,7144273
-k65,25:4740920,7144273:229180
-x65,25:6095143,7144273
-x65,25:7842582,7144273
-k65,25:8071763,7144273:229181
-x65,25:9425986,7144273
-x65,25:10431038,7144273
-x65,25:11042874,7144273
-x65,25:11741216,7144273
-x65,25:12964888,7144273
-k65,25:13194068,7144273:229180
-x65,25:13805904,7144273
-x65,25:14373695,7144273
-x65,25:14897453,7144273
-x65,25:15937103,7144273
-k65,25:16166283,7144273:229180
-x65,25:16908670,7144273
-x65,25:18786649,7144273
-k65,25:18786649,7144273:0
-)
-(65,32:2797019,8094545:15989630,536337,9038
-x65,32:3758026,8094545
-k65,25:3982311,8094545:224285
-x65,25:5423807,8094545
-x65,25:6428838,8094545
-k65,25:6653123,8094545:224285
-x65,25:7788726,8094545
-k65,25:8013012,8094545:224286
-x65,25:8362183,8094545
-k65,25:8586468,8094545:224285
-x65,25:9983940,8094545
-k65,25:10208225,8094545:224285
-x65,25:11081930,8094545
-x65,25:12305602,8094545
-k65,26:12529887,8094545:224285
-x65,26:13316319,8094545
-k65,26:13540604,8094545:224285
-x65,26:15309269,8094545
-k65,26:15636093,8094545:326824
-x65,26:16858989,8094545
-k65,27:17083274,8094545:224285
-x65,27:18524770,8094545
-x65,27:18786649,8094545
-k65,32:18786649,8094545:0
-)
-(65,32:2797019,9044817:15989630,536337,170256
-x65,32:3802050,9044817
-k65,27:4027449,9044817:225399
-x65,27:5075750,9044817
-k65,27:5301149,9044817:225399
-x65,27:5912985,9044817
-k65,27:6138383,9044817:225398
-x65,27:7579900,9044817
-k65,27:7805299,9044817:225399
-x65,27:8548453,9044817
-k65,27:8773852,9044817:225399
-x65,27:9516239,9044817
-x65,27:10651842,9044817
-k65,27:10877241,9044817:225399
-x65,27:11794991,9044817
-x65,27:12755998,9044817
-k65,27:12981397,9044817:225399
-x65,27:13723784,9044817
-x65,27:14946670,9044817
-x65,27:16912729,9044817
-x65,27:17058226,9044817
-k65,27:17388390,9044817:330164
-x65,27:18786649,9044817
-k65,27:18786649,9044817:0
-)
-(65,32:2797019,9995089:15989630,536337,170256
-x65,32:3364820,9995089
-x65,27:4099344,9995089
-k65,27:4340285,9995089:240941
-x65,27:4777525,9995089
-k65,27:5018467,9995089:240942
-x65,27:6110025,9995089
-k65,27:6350966,9995089:240941
-x65,27:7093353,9995089
-k65,27:7334295,9995089:240942
-x65,27:7946131,9995089
-x65,27:9605480,9995089
-k65,27:9846421,9995089:240941
-x65,27:10458257,9995089
-k65,27:10699199,9995089:240942
-x65,27:12642450,9995089
-k65,27:13019242,9995089:376792
-x65,27:14897232,9995089
-k65,28:15138174,9995089:240942
-x65,28:16099181,9995089
-k65,28:16340122,9995089:240941
-x65,28:17781618,9995089
-x65,28:18786649,9995089
-k65,28:18786649,9995089:0
-)
-(65,32:2797019,10945361:15989630,536337,170256
-x65,32:4063940,10945361
-k65,28:4238735,10945361:174795
-x65,28:4850571,10945361
-k65,28:5025366,10945361:174795
-x65,28:6379589,10945361
-x65,28:7384641,10945361
-x65,28:7996477,10945361
-x65,28:8694819,10945361
-x65,28:9918491,10945361
-k65,28:10093286,10945361:174795
-x65,28:11011036,10945361
-x65,28:12670385,10945361
-k65,28:12845180,10945361:174795
-x65,28:13457016,10945361
-k65,28:13631812,10945361:174796
-x65,28:13980983,10945361
-k65,28:14155778,10945361:174795
-x65,28:15553250,10945361
-k65,28:15728045,10945361:174795
-x65,28:16601750,10945361
-x65,28:17825422,10945361
-k65,28:18000217,10945361:174795
-x65,28:18786649,10945361
-k65,28:18786649,10945361:0
-)
-(65,32:2797019,11895633:15989630,536337,170256
-x65,32:4565684,11895633
-k65,28:4803756,11895633:238072
-x65,28:5152927,11895633
-k65,28:5382706,11895633:229779
-x65,28:6431007,11895633
-x65,28:7566589,11895633
-k65,28:7796368,11895633:229779
-x65,28:8714118,11895633
-x65,28:9675125,11895633
-k65,28:9904904,11895633:229779
-x65,28:10429438,11895633
-k65,29:10659217,11895633:229779
-x65,29:11926138,11895633
-k65,29:12155918,11895633:229780
-x65,29:12767754,11895633
-k65,29:12997533,11895633:229779
-x65,29:13958540,11895633
-k65,29:14188319,11895633:229779
-x65,29:15629815,11895633
-x65,29:16634846,11895633
-k65,29:16864625,11895633:229779
-x65,29:18786649,11895633
-k65,29:18786649,11895633:0
-)
-(65,32:2797019,12845905:15989630,536337,170256
-x65,32:3321553,12845905
-k65,29:3610875,12845905:289322
-x65,29:4221925,12845905
-x65,29:5138887,12845905
-x65,29:5512442,12845905
-x65,29:6243040,12845905
-k65,29:6532363,12845905:289323
-x65,29:7318795,12845905
-k65,29:7608117,12845905:289322
-x65,29:8569124,12845905
-k65,29:8858447,12845905:289323
-x65,29:10255928,12845905
-k65,29:10545158,12845905:289230
-x65,29:11506165,12845905
-k65,29:11795487,12845905:289322
-x65,29:12756494,12845905
-k65,29:13045817,12845905:289323
-x65,29:14705176,12845905
-x65,29:15439700,12845905
-k65,29:15729022,12845905:289322
-x65,29:17432426,12845905
-x65,29:18786649,12845905
-k65,29:18786649,12845905:0
-)
-(65,32:2797019,13796177:15989630,536337,11002
-x65,32:4325828,13796177
-x65,32:5330094,13796177
-x65,32:5483454,13796177
-k65,29:5845458,13796177:362004
-x65,29:7068354,13796177
-k65,29:7304366,13796177:236012
-x65,29:8701847,13796177
-k65,29:8937820,13796177:235973
-x65,29:10292043,13796177
-k65,30:10528055,13796177:236012
-x65,30:11839000,13796177
-k65,30:12075012,13796177:236012
-x65,30:13036019,13796177
-k65,30:13272031,13796177:236012
-x65,30:14976213,13796177
-k65,30:15212186,13796177:235973
-x65,30:15824022,13796177
-k65,30:16060034,13796177:236012
-x65,30:17764205,13796177
-k65,30:18000217,13796177:236012
-x65,30:18786649,13796177
-k65,30:18786649,13796177:0
-)
-(65,32:2797019,14746449:15989630,536337,11002
-x65,32:3758026,14746449
-k65,30:4044483,14746449:286457
-x65,30:5703842,14746449
-x65,30:6438366,14746449
-k65,30:6724823,14746449:286457
-x65,30:8428227,14746449
-x65,30:9782450,14746449
-k65,30:10068907,14746449:286457
-x65,30:10549416,14746449
-x65,30:12749034,14746449
-x65,30:13122589,14746449
-x65,30:13721850,14746449
-x65,30:13875210,14746449
-k65,30:14388549,14746449:513339
-x65,30:16092731,14746449
-k65,30:16379188,14746449:286457
-x65,30:17165620,14746449
-x65,30:17713760,14746449
-k65,30:18000217,14746449:286457
-x65,30:18786649,14746449
-k65,30:18786649,14746449:0
-)
-(65,32:2797019,15696721:15989630,536337,11002
-x65,32:3146190,15696721
-k65,30:3371119,15696721:224929
-x65,30:4725342,15696721
-x65,30:5730394,15696721
-x65,30:6342230,15696721
-x65,30:7040572,15696721
-x65,30:8264244,15696721
-k65,30:8489173,15696721:224929
-x65,30:9231560,15696721
-x65,30:11109539,15696721
-k65,31:11334468,15696721:224929
-x65,31:12295475,15696721
-k65,31:12520403,15696721:224928
-x65,31:13917875,15696721
-k65,31:14142804,15696721:224929
-x65,31:15016509,15696721
-x65,31:16240181,15696721
-k65,31:16465110,15696721:224929
-x65,31:17600713,15696721
-k65,31:17825642,15696721:224929
-x65,31:18786649,15696721
-k65,31:18786649,15696721:0
-)
-(65,32:2797019,16646993:15989630,536337,170256
-x65,32:4238515,16646993
-x65,32:5243546,16646993
-g65,31:5440154,16646993
-x65,31:5964688,16646993
-g65,31:6161296,16646993
-x65,31:7253642,16646993
-x65,31:7778176,16646993
-x65,31:8935791,16646993
-k65,32:18786649,16646993:9850858
-g65,32:18786649,16646993
-)
-(65,37:2797019,17597265:15989630,536337,170256
-h65,33:2797019,17597265:770040,0,0
-x65,33:4789955,17597265
-k65,33:5271865,17597265:481910
-x65,33:6713361,17597265
-x65,33:7718392,17597265
-k65,33:8200302,17597265:481910
-x65,33:9291860,17597265
-k65,33:9773770,17597265:481910
-x65,33:10384820,17597265
-x65,33:11301782,17597265
-x65,33:11675337,17597265
-x65,33:12012719,17597265
-k65,33:12494629,17597265:481910
-x65,33:13630232,17597265
-x65,33:14460699,17597265
-x65,33:14853915,17597265
-x65,33:15464965,17597265
-x65,33:16688637,17597265
-k65,33:17170547,17597265:481910
-x65,33:18524770,17597265
-x65,33:18786649,17597265
-k65,37:18786649,17597265:0
-)
-(65,37:2797019,18547537:15989630,536337,170256
-x65,37:4850372,18547537
-k65,33:5125293,18547537:274921
-x65,33:6260896,18547537
-k65,33:6535816,18547537:274920
-x65,33:7845994,18547537
-k65,33:8120915,18547537:274921
-x65,33:8731965,18547537
-x65,33:10392102,18547537
-k65,33:10667022,18547537:274920
-x65,33:12021245,18547537
-x65,33:14074598,18547537
-k65,33:14349519,18547537:274921
-x65,33:15878329,18547537
-k65,33:16153249,18547537:274920
-x65,33:17114256,18547537
-k65,33:17389177,18547537:274921
-x65,33:18786649,18547537
-k65,33:18786649,18547537:0
-)
-(65,37:2797019,19497809:15989630,536337,170256
-x65,37:3670724,19497809
-x65,37:5091004,19497809
-k65,34:5571331,19497809:480327
-x65,34:6794227,19497809
-k65,34:7069680,19497809:275453
-x65,34:8205283,19497809
-x65,34:9035750,19497809
-x65,34:9428966,19497809
-x65,34:10040016,19497809
-x65,34:11263688,19497809
-k65,34:11539140,19497809:275452
-x65,34:12893363,19497809
-x65,34:14946716,19497809
-k65,34:15222169,19497809:275453
-x65,34:16182390,19497809
-k65,34:16457843,19497809:275453
-x65,34:17899360,19497809
-k65,34:18174813,19497809:275453
-x65,34:18786649,19497809
-k65,34:18786649,19497809:0
-)
-(65,37:2797019,20448081:15989630,536337,170256
-x65,37:3670724,20448081
-k65,34:3844506,20448081:173782
-x65,34:4586893,20448081
-x65,34:5809779,20448081
-x65,34:6158950,20448081
-x65,34:7294534,20448081
-k65,34:7468315,20448081:173781
-x65,34:8298771,20448081
-x65,34:8640079,20448081
-k65,34:8813861,20448081:173782
-x65,34:9949464,20448081
-k65,34:10185647,20448081:236183
-x65,34:11146654,20448081
-k65,34:11320436,20448081:173782
-x65,34:12587357,20448081
-x65,34:14204245,20448081
-k65,34:14378026,20448081:173781
-x65,34:15556867,20448081
-k65,34:15730649,20448081:173782
-x65,34:16385744,20448081
-k65,35:16559525,20448081:173781
-x65,35:17520532,20448081
-k65,35:17694314,20448081:173782
-x65,35:18524770,20448081
-x65,35:18786649,20448081
-k65,37:18786649,20448081:0
-)
-(65,37:2797019,21398353:15989630,536337,170256
-x65,37:3801285,21398353
-x65,37:5723320,21398353
-k65,35:5907678,21398353:184358
-x65,35:8222122,21398353
-k65,35:8406480,21398353:184358
-x65,35:8974271,21398353
-x65,35:9323442,21398353
-x65,35:11354772,21398353
-k65,35:11541580,21398353:186808
-x65,35:12196675,21398353
-k65,35:12381032,21398353:184357
-x65,35:12992868,21398353
-k65,35:13177226,21398353:184358
-x65,35:14050931,21398353
-k65,35:14235289,21398353:184358
-x65,35:14977676,21398353
-x65,35:16200562,21398353
-x65,35:16549733,21398353
-x65,35:17685317,21398353
-k65,35:17869675,21398353:184358
-x65,35:18786649,21398353
-k65,35:18786649,21398353:0
-)
-(65,37:2797019,22348625:15989630,536337,110880
-x65,37:3758026,22348625
-k65,35:3961243,22348625:203217
-x65,35:5271421,22348625
-x65,35:6428250,22348625
-k65,35:6633120,22348625:204870
-x65,35:7288205,22348625
-k65,35:7491422,22348625:203217
-x65,35:8845634,22348625
-k65,35:9048852,22348625:203218
-x65,35:9703937,22348625
-k65,35:9907154,22348625:203217
-x65,35:10518990,22348625
-k65,35:10722208,22348625:203218
-x65,35:11727239,22348625
-k65,35:11930456,22348625:203217
-x65,35:12891463,22348625
-k65,36:13094680,22348625:203217
-x65,36:14536176,22348625
-x65,36:15541207,22348625
-k65,36:15744425,22348625:203218
-x65,36:17447829,22348625
-k65,36:17651046,22348625:203217
-x65,36:18786649,22348625
-k65,36:18786649,22348625:0
-)
-(65,37:2797019,23298897:15989630,536337,170256
-x65,37:3583451,23298897
-x65,36:4131591,23298897
-g65,36:4328199,23298897
-x65,36:4983294,23298897
-x65,36:5944301,23298897
-g65,36:6140909,23298897
-x65,36:6752745,23298897
-g65,36:6949353,23298897
-x65,36:7910360,23298897
-x65,36:8251668,23298897
-g65,36:8448276,23298897
-x65,36:9409283,23298897
-x65,36:11134691,23298897
-k65,37:18786649,23298897:7651958
-g65,37:18786649,23298897
-)
-(65,38:2797019,25283872:15989630,665187,285084
-g65,38:5332444,25283872
-r65,100:5332444,25283872:0,950271,285084
-(65,38:5332444,25283872:0,665187,285084
-k65,38:2797019,25283872:-2535425
-(65,38:2797019,25283872:2535425,665187,285084
-x65,38:4546012,25283872
-g65,38:5332444,25283872
-r65,100:5332444,25283872:0,950271,285084
-g65,38:5332444,25283872
-)
-)
-x65,38:7736543,25283872
-x65,38:8209189,25283872
-x65,38:9091554,25283872
-r65,100:9091554,25283872:0,950271,285084
-k65,38:18786649,25283872:9695095
-g65,38:18786649,25283872
-)
-(65,40:2797019,26742048:15989630,536337,170256
-x65,40:4019915,26742048
-k65,39:4311170,26742048:291255
-x65,39:5665393,26742048
-x65,39:6670445,26742048
-x65,39:7282281,26742048
-x65,39:7980623,26742048
-x65,39:9204295,26742048
-k65,39:9495550,26742048:291255
-x65,39:10107386,26742048
-x65,39:11374307,26742048
-k65,39:11665563,26742048:291256
-x65,39:12626570,26742048
-k65,39:12917825,26742048:291255
-x65,39:13704257,26742048
-x65,39:14053428,26742048
-x65,39:15494934,26742048
-k65,39:15786189,26742048:291255
-x65,39:16791233,26742048
-x65,39:17970860,26742048
-k65,39:18262115,26742048:291255
-x65,39:18786649,26742048
-k65,39:18786649,26742048:0
-)
-(65,40:2797019,27692320:15989630,536337,170256
-x65,40:4587707,27692320
-k65,39:4840708,27692320:253001
-x65,39:5627140,27692320
-k65,39:5880141,27692320:253001
-x65,39:6229312,27692320
-k65,39:6482313,27692320:253001
-x65,39:7749234,27692320
-x65,39:9146706,27692320
-k65,39:9399707,27692320:253001
-x65,39:10404751,27692320
-x65,39:11780986,27692320
-k65,39:12193957,27692320:412971
-x65,39:12674466,27692320
-x65,39:13965771,27692320
-k65,39:14218772,27692320:253001
-x65,39:15310330,27692320
-k65,39:15563331,27692320:253001
-x65,39:17004848,27692320
-k65,39:17257849,27692320:253001
-x65,39:18524770,27692320
-x65,39:18786649,27692320
-k65,40:18786649,27692320:0
-)
-(65,40:2797019,28642592:15989630,536337,170256
-x65,40:4500405,28642592
-g65,39:4697013,28642592
-x65,39:5308849,28642592
-g65,39:5505457,28642592
-x65,39:6946186,28642592
-g65,39:7142794,28642592
-x65,39:8147846,28642592
-x65,39:9261418,28642592
-k65,40:18786649,28642592:9525231
-g65,40:18786649,28642592
-)
-(65,45:2797019,29592864:15989630,536337,170256
-h65,41:2797019,29592864:770040,0,0
-x65,41:5489075,29592864
-x65,41:5922399,29592864
-x65,41:6913300,29592864
-k65,41:7560152,29592864:646852
-x65,41:8215247,29592864
-x65,41:8608463,29592864
-x65,41:10256811,29592864
-k65,41:10904114,29592864:647303
-x65,41:12302371,29592864
-k65,41:12949674,29592864:647303
-x65,41:13692061,29592864
-k65,41:14339363,29592864:647302
-x65,41:15125795,29592864
-x65,41:16261377,29592864
-k65,41:16908680,29592864:647303
-x65,41:18786649,29592864
-k65,41:18786649,29592864:0
-)
-(65,45:2797019,30543136:15989630,536337,139584
-x65,45:5439418,30543136
-k65,41:6018245,30543136:578827
-x65,41:7416504,30543136
-k65,41:7724791,30543136:308287
-x65,41:8249325,30543136
-k65,41:8557611,30543136:308286
-x65,41:9999128,30543136
-k65,41:10307414,30543136:308286
-x65,41:10919250,30543136
-k65,41:11227537,30543136:308287
-x65,41:12581760,30543136
-x65,41:14591864,30543136
-x65,41:15857997,30543136
-k65,41:16166283,30543136:308286
-x65,41:16908670,30543136
-x65,41:18786649,30543136
-k65,41:18786649,30543136:0
-)
-(65,45:2797019,31493408:15989630,536337,170256
-x65,45:4369854,31493408
-k65,41:4578854,31493408:209000
-x65,41:5190690,31493408
-k65,41:5399689,31493408:208999
-x65,41:6797161,31493408
-x65,41:7321705,31493408
-x65,41:7755029,31493408
-x65,41:8942538,31493408
-k65,42:9151538,31493408:209000
-x65,42:10112555,31493408
-k65,42:10321555,31493408:209000
-x65,42:12767346,31493408
-k65,42:12976345,31493408:208999
-x65,42:13500879,31493408
-k65,42:13709879,31493408:209000
-x65,42:14583594,31493408
-x65,42:15544601,31493408
-x65,42:16636936,31493408
-k65,42:16845935,31493408:208999
-x65,42:17195106,31493408
-k65,42:17404106,31493408:209000
-x65,42:17797322,31493408
-x65,42:18786649,31493408
-k65,42:18786649,31493408:0
-)
-(65,45:2797019,32443680:15989630,536337,170256
-x65,45:5024957,32443680
-k65,42:5176913,32443680:151956
-x65,42:7098937,32443680
-k65,42:7250893,32443680:151956
-x65,42:8342451,32443680
-k65,42:8494407,32443680:151956
-x65,42:9236794,32443680
-k65,42:9388750,32443680:151956
-x65,42:10655671,32443680
-k65,42:10807626,32443680:151955
-x65,42:11419462,32443680
-k65,42:11571418,32443680:151956
-x65,42:11920589,32443680
-k65,42:12072545,32443680:151956
-x65,42:13536074,32443680
-k65,42:13764982,32443680:228908
-x65,42:15163241,32443680
-k65,42:15315197,32443680:151956
-x65,42:17543135,32443680
-k65,42:17695091,32443680:151956
-x65,42:18786649,32443680
-k65,42:18786649,32443680:0
-)
-(65,45:2797019,33393952:15989630,536337,170256
-x65,45:4413907,33393952
-k65,43:4635756,33393952:221849
-x65,43:5247592,33393952
-k65,43:5469442,33393952:221850
-x65,43:6211829,33393952
-x65,43:6593256,33393952
-k65,43:6815105,33393952:221849
-x65,43:7557492,33393952
-x65,43:9173586,33393952
-k65,43:9395435,33393952:221849
-x65,43:10007271,33393952
-k65,43:10229120,33393952:221849
-x65,43:11190127,33393952
-k65,43:11411977,33393952:221850
-x65,43:12984820,33393952
-x65,43:14033131,33393952
-x65,43:14178628,33393952
-k65,43:14498143,33393952:319515
-x65,43:15153238,33393952
-k65,43:15375088,33393952:221850
-x65,43:16511458,33393952
-k65,43:16733307,33393952:221849
-x65,43:17563763,33393952
-x65,43:18524770,33393952
-x65,43:18786649,33393952
-k65,45:18786649,33393952:0
-)
-(65,45:2797019,34344224:15989630,536337,170256
-x65,45:4151242,34344224
-x65,45:4719033,34344224
-x65,45:5942705,34344224
-k65,43:6198754,34344224:256049
-x65,43:8950459,34344224
-k65,43:9206507,34344224:256048
-x65,43:10166728,34344224
-k65,43:10422777,34344224:256049
-x65,43:11864294,34344224
-k65,43:12120343,34344224:256049
-x65,43:12732179,34344224
-k65,43:12988228,34344224:256049
-x65,43:14605116,34344224
-k65,43:14861164,34344224:256048
-x65,43:15473000,34344224
-k65,43:15729049,34344224:256049
-x65,43:17257849,34344224
-x65,43:18786649,34344224
-k65,44:18786649,34344224:0
-)
-(65,45:2797019,35294496:15989630,536337,170256
-x65,45:4719043,35294496
-g65,44:4915651,35294496
-x65,44:5919917,35294496
-x65,44:6530967,35294496
-x65,44:7797888,35294496
-g65,44:7994496,35294496
-x65,44:9697882,35294496
-x65,44:11947047,35294496
-k65,45:18786649,35294496:6839602
-g65,45:18786649,35294496
-)
-(65,46:2797019,35848797:15989630,222822,0
-(65,46:2797019,35848797:0,222822,0
-(65,46:2797019,35848797:0,222822,0
-(65,46:2797019,35848797:16212452,222822,0
-(65,46:2574197,35848797:16435274,222822,0
-r65,100:2574197,35848797:0,222822,0
-k65,46:2574197,35848797:16435274
-)
-)
-k65,46:2797019,35848797:-16212452
-)
-(65,46:2797019,35848797:0,196608,0
-(65,46:2797019,35652189:15989630,0,0
-(65,46:2797019,35652189:15989630,0,0
-r65,100:2797019,35652189:0,0,0
-k65,46:2797019,35652189:15989630
-)
-)
-k65,46:2797019,35848797:-15989630
-)
-(65,46:2797019,35848797:0,222822,0
-(65,46:2797019,35848797:0,222822,0
-(65,46:2797019,35848797:0,222822,0
-(65,46:2797019,35652189:15989630,26214,196608
-(65,46:2797019,35652189:0,26214,196608
-k65,46:2574197,35652189:-222822
-(65,46:2574197,35652189:222822,26214,196608
-(65,46:2574197,35625975:26214,0,222822
-r65,100:2600411,35625975:26214,222822,222822
-)
-r65,100:2797019,35652189:222822,26214,0
-)
-)
-r65,100:18786649,35652189:15989630,26214,0
-(65,46:18786649,35652189:0,26214,196608
-(65,46:18786649,35652189:222822,26214,196608
-r65,100:19009471,35652189:222822,26214,0
-k65,46:19009471,35652189:-26214
-(65,46:18983257,35625975:26214,0,222822
-r65,100:19009471,35625975:26214,222822,222822
-)
-)
-k65,46:18786649,35652189:-222822
-)
-)
-k65,46:2797019,35848797:-15989630
-)
-h65,46:2797019,35848797:0,0,0
-)
-g65,46:2797019,35848797
-)
-)
-k65,46:10791834,35848797:7994815
-k65,46:18786649,35848797:7994815
-)
-(65,48:2797019,36399297:15989630,550500,235932
-(65,46:2797019,36399297:0,550500,235932
-(65,46:2797019,36399297:0,550500,235932
-k65,46:2600411,36399297:-196608
-(65,46:2600411,36399297:196608,550500,235932
-r65,100:2600411,36399297:0,786432,235932
-k65,46:2600411,36399297:196608
-)
-)
-(65,46:2797019,36399297:0,550500,235932
-k65,46:2574197,36399297:-222822
-(65,46:2574197,36399297:0,550500,235932
-(65,46:2574197,36399297:26214,550500,235932
-r65,100:2574197,36399297:0,786432,235932
-k65,46:2574197,36399297:26214
-)
-k65,46:2574197,36399297:-26214
-)
-(65,46:2574197,36399297:26214,550500,235932
-r65,100:2574197,36399297:0,786432,235932
-k65,46:2574197,36399297:-131072
-r65,100:2600411,36399297:26214,786432,235932
-)
-)
-(65,46:2797019,36399297:0,550500,235932
-(65,46:2797019,36399297:15989630,550500,235932
-r65,100:2797019,36399297:0,786432,235932
-k65,46:2797019,36399297:15989630
-)
-(65,46:18786649,36399297:196608,550500,235932
-r65,100:18786649,36399297:0,786432,235932
-k65,46:18786649,36399297:196608
-)
-(65,46:18983257,36399297:0,550500,235932
-(65,46:18983257,36399297:26214,550500,235932
-r65,100:18983257,36399297:0,786432,235932
-k65,46:18983257,36399297:26214
-)
-k65,46:18983257,36399297:-26214
-)
-(65,46:18983257,36399297:26214,550500,235932
-r65,100:18983257,36399297:0,786432,235932
-r65,100:19009471,36399297:26214,786432,235932
-k65,46:19009471,36399297:131072
-)
-k65,46:2797019,36399297:-16212452
-)
-)
-(65,47:2797019,36399297:0,0,0
-(65,47:2797019,35612865:0,0,0
-)
-)
-(65,47:2797019,36399297:0,446948,0
-k65,47:2141659,36399297:-655360
-x65,47:2469339,36399297
-)
-h65,47:2797019,36399297:0,0,0
-(65,47:2797019,36399297:2752540,446948,141880
-(65,47:2797019,36399297:2752540,446948,141880
-k65,47:2895411,36399297:98392
-x65,47:3077594,36399297
-k65,47:3175985,36399297:98391
-x65,47:3503665,36399297
-k65,47:3602057,36399297:98392
-x65,47:3929737,36399297
-k65,47:4028129,36399297:98392
-x65,47:4319105,36399297
-k65,47:4417496,36399297:98391
-x65,47:4745176,36399297
-k65,47:4843568,36399297:98392
-x65,47:5134544,36399297
-k65,47:5232935,36399297:98391
-x65,47:5451167,36399297
-k65,47:5549559,36399297:98392
-)
-)
-(65,47:5549559,36399297:393220,0,0
-(65,47:5549559,36399297:393220,0,0
-k65,47:5664249,36399297:114690
-g65,47:5828089,36399297
-k65,47:5942779,36399297:114690
-)
-)
-(65,47:5942779,36399297:2359320,379448,7531
-(65,47:5942779,36399297:2359320,379448,7531
-k65,47:6071799,36399297:129020
-x65,47:6326727,36399297
-k65,47:6455746,36399297:129019
-x65,47:6637929,36399297
-k65,47:6766949,36399297:129020
-x65,47:6985181,36399297
-k65,47:7114201,36399297:129020
-x65,47:7441881,36399297
-k65,47:7570901,36399297:129020
-x65,47:7861877,36399297
-k65,47:7990896,36399297:129019
-x65,47:8173079,36399297
-k65,47:8302099,36399297:129020
-)
-)
-k65,48:13544374,36399297:5242275
-k65,48:18786649,36399297:5242275
-)
-(65,49:2797019,37185729:15989630,550500,235932
-(65,46:2797019,37185729:0,550500,235932
-(65,46:2797019,37185729:0,550500,235932
-k65,46:2600411,37185729:-196608
-(65,46:2600411,37185729:196608,550500,235932
-r65,100:2600411,37185729:0,786432,235932
-k65,46:2600411,37185729:196608
-)
-)
-(65,46:2797019,37185729:0,550500,235932
-k65,46:2574197,37185729:-222822
-(65,46:2574197,37185729:0,550500,235932
-(65,46:2574197,37185729:26214,550500,235932
-r65,100:2574197,37185729:0,786432,235932
-k65,46:2574197,37185729:26214
-)
-k65,46:2574197,37185729:-26214
-)
-(65,46:2574197,37185729:26214,550500,235932
-r65,100:2574197,37185729:0,786432,235932
-k65,46:2574197,37185729:-131072
-r65,100:2600411,37185729:26214,786432,235932
-)
-)
-(65,46:2797019,37185729:0,550500,235932
-(65,46:2797019,37185729:15989630,550500,235932
-r65,100:2797019,37185729:0,786432,235932
-k65,46:2797019,37185729:15989630
-)
-(65,46:18786649,37185729:196608,550500,235932
-r65,100:18786649,37185729:0,786432,235932
-k65,46:18786649,37185729:196608
-)
-(65,46:18983257,37185729:0,550500,235932
-(65,46:18983257,37185729:26214,550500,235932
-r65,100:18983257,37185729:0,786432,235932
-k65,46:18983257,37185729:26214
-)
-k65,46:18983257,37185729:-26214
-)
-(65,46:18983257,37185729:26214,550500,235932
-r65,100:18983257,37185729:0,786432,235932
-r65,100:19009471,37185729:26214,786432,235932
-k65,46:19009471,37185729:131072
-)
-k65,46:2797019,37185729:-16212452
-)
-)
-(65,48:2797019,37185729:0,0,0
-(65,48:2797019,36399297:0,0,0
-)
-)
-(65,48:2797019,37185729:0,446948,0
-k65,48:2141659,37185729:-655360
-x65,48:2469339,37185729
-)
-h65,48:2797019,37185729:0,0,0
-(65,48:2797019,37185729:393220,491520,163840
-(65,48:2797019,37185729:393220,491520,163840
-k65,48:2829789,37185729:32770
-x65,48:3157470,37185729
-k65,48:3190239,37185729:32769
-)
-)
-k65,49:10988444,37185729:7798205
-k65,49:18786649,37185729:7798205
-)
-(65,50:2797019,37972161:15989630,550500,235932
-(65,46:2797019,37972161:0,550500,235932
-(65,46:2797019,37972161:0,550500,235932
-k65,46:2600411,37972161:-196608
-(65,46:2600411,37972161:196608,550500,235932
-r65,100:2600411,37972161:0,786432,235932
-k65,46:2600411,37972161:196608
-)
-)
-(65,46:2797019,37972161:0,550500,235932
-k65,46:2574197,37972161:-222822
-(65,46:2574197,37972161:0,550500,235932
-(65,46:2574197,37972161:26214,550500,235932
-r65,100:2574197,37972161:0,786432,235932
-k65,46:2574197,37972161:26214
-)
-k65,46:2574197,37972161:-26214
-)
-(65,46:2574197,37972161:26214,550500,235932
-r65,100:2574197,37972161:0,786432,235932
-k65,46:2574197,37972161:-131072
-r65,100:2600411,37972161:26214,786432,235932
-)
-)
-(65,46:2797019,37972161:0,550500,235932
-(65,46:2797019,37972161:15989630,550500,235932
-r65,100:2797019,37972161:0,786432,235932
-k65,46:2797019,37972161:15989630
-)
-(65,46:18786649,37972161:196608,550500,235932
-r65,100:18786649,37972161:0,786432,235932
-k65,46:18786649,37972161:196608
-)
-(65,46:18983257,37972161:0,550500,235932
-(65,46:18983257,37972161:26214,550500,235932
-r65,100:18983257,37972161:0,786432,235932
-k65,46:18983257,37972161:26214
-)
-k65,46:18983257,37972161:-26214
-)
-(65,46:18983257,37972161:26214,550500,235932
-r65,100:18983257,37972161:0,786432,235932
-r65,100:19009471,37972161:26214,786432,235932
-k65,46:19009471,37972161:131072
-)
-k65,46:2797019,37972161:-16212452
-)
-)
-(65,49:2797019,37972161:0,0,0
-(65,49:2797019,37185729:0,0,0
-)
-)
-(65,49:2797019,37972161:0,446948,9168
-k65,49:2141659,37972161:-655360
-x65,49:2469339,37972161
-)
-h65,49:2797019,37972161:0,0,0
-(65,49:2797019,37972161:786440,0,0
-)
-(65,49:3583459,37972161:1572880,446948,9168
-(65,49:3583459,37972161:1572880,446948,9168
-k65,49:3664990,37972161:81531
-x65,49:3992670,37972161
-k65,49:4074202,37972161:81532
-x65,49:4401882,37972161
-k65,49:4483413,37972161:81531
-x65,49:4665596,37972161
-k65,49:4747128,37972161:81532
-x65,49:5074808,37972161
-k65,49:5156339,37972161:81531
-)
-)
-(65,49:5156339,37972161:393220,0,0
-(65,49:5156339,37972161:393220,0,0
-k65,49:5271029,37972161:114690
-g65,49:5434869,37972161
-k65,49:5549559,37972161:114690
-)
-)
-(65,49:5549559,37972161:1572880,0,0
-)
-(65,49:7122439,37972161:393220,300151,0
-(65,49:7122439,37972161:393220,300151,0
-k65,49:7155209,37972161:32770
-x65,49:7482889,37972161
-k65,49:7515659,37972161:32770
-)
-)
-(65,49:7515659,37972161:1966100,437780,141880
-(65,49:7515659,37972161:1966100,437780,141880
-k65,49:7582512,37972161:66853
-x65,49:7910192,37972161
-k65,49:7977045,37972161:66853
-x65,49:8450213,37972161
-k65,49:8517066,37972161:66853
-x65,49:8808042,37972161
-k65,49:8874894,37972161:66852
-x65,49:9057077,37972161
-k65,49:9123930,37972161:66853
-x65,49:9414906,37972161
-k65,49:9481759,37972161:66853
-)
-)
-(65,49:9481759,37972161:393220,298188,92400
-(65,49:9481759,37972161:393220,298188,92400
-k65,49:9587278,37972161:105519
-x65,49:9769461,37972161
-k65,49:9874979,37972161:105518
-)
-)
-k65,50:14330814,37972161:4455835
-k65,50:18786649,37972161:4455835
-)
-(65,51:2797019,38758593:15989630,550500,235932
-(65,46:2797019,38758593:0,550500,235932
-(65,46:2797019,38758593:0,550500,235932
-k65,46:2600411,38758593:-196608
-(65,46:2600411,38758593:196608,550500,235932
-r65,100:2600411,38758593:0,786432,235932
-k65,46:2600411,38758593:196608
-)
-)
-(65,46:2797019,38758593:0,550500,235932
-k65,46:2574197,38758593:-222822
-(65,46:2574197,38758593:0,550500,235932
-(65,46:2574197,38758593:26214,550500,235932
-r65,100:2574197,38758593:0,786432,235932
-k65,46:2574197,38758593:26214
-)
-k65,46:2574197,38758593:-26214
-)
-(65,46:2574197,38758593:26214,550500,235932
-r65,100:2574197,38758593:0,786432,235932
-k65,46:2574197,38758593:-131072
-r65,100:2600411,38758593:26214,786432,235932
-)
-)
-(65,46:2797019,38758593:0,550500,235932
-(65,46:2797019,38758593:15989630,550500,235932
-r65,100:2797019,38758593:0,786432,235932
-k65,46:2797019,38758593:15989630
-)
-(65,46:18786649,38758593:196608,550500,235932
-r65,100:18786649,38758593:0,786432,235932
-k65,46:18786649,38758593:196608
-)
-(65,46:18983257,38758593:0,550500,235932
-(65,46:18983257,38758593:26214,550500,235932
-r65,100:18983257,38758593:0,786432,235932
-k65,46:18983257,38758593:26214
-)
-k65,46:18983257,38758593:-26214
-)
-(65,46:18983257,38758593:26214,550500,235932
-r65,100:18983257,38758593:0,786432,235932
-r65,100:19009471,38758593:26214,786432,235932
-k65,46:19009471,38758593:131072
-)
-k65,46:2797019,38758593:-16212452
-)
-)
-(65,50:2797019,38758593:0,0,0
-(65,50:2797019,37972161:0,0,0
-)
-)
-(65,50:2797019,38758593:0,446948,0
-k65,50:2141659,38758593:-655360
-x65,50:2469339,38758593
-)
-h65,50:2797019,38758593:0,0,0
-(65,50:2797019,38758593:786440,0,0
-)
-(65,50:3583459,38758593:3145760,446948,9168
-(65,50:3583459,38758593:3145760,446948,9168
-k65,50:3684318,38758593:100859
-x65,50:4048694,38758593
-k65,50:4149552,38758593:100858
-x65,50:4440528,38758593
-k65,50:4541387,38758593:100859
-x65,50:4869067,38758593
-k65,50:4969925,38758593:100858
-x65,50:5297605,38758593
-k65,50:5398464,38758593:100859
-x65,50:5689440,38758593
-k65,50:5790298,38758593:100858
-x65,50:6008530,38758593
-k65,50:6109389,38758593:100859
-[65,50:6148709,38758593:196610,26214,0
-]
-k65,50:6446177,38758593:100858
-x65,50:6628360,38758593
-k65,50:6729219,38758593:100859
-)
-)
-(65,50:6729219,38758593:393220,0,0
-(65,50:6729219,38758593:393220,0,0
-k65,50:6843909,38758593:114690
-g65,50:7007749,38758593
-k65,50:7122439,38758593:114690
-)
-)
-(65,50:7122439,38758593:2359320,446948,9168
-(65,50:7122439,38758593:2359320,446948,9168
-k65,50:7199496,38758593:77057
-x65,50:7563872,38758593
-k65,50:7640929,38758593:77057
-x65,50:7931905,38758593
-k65,50:8008962,38758593:77057
-x65,50:8336642,38758593
-k65,50:8413700,38758593:77058
-x65,50:8741380,38758593
-k65,50:8818437,38758593:77057
-x65,50:9109413,38758593
-k65,50:9186470,38758593:77057
-x65,50:9404702,38758593
-k65,50:9481759,38758593:77057
-)
-)
-(65,50:9481759,38758593:393220,298188,92400
-(65,50:9481759,38758593:393220,298188,92400
-k65,50:9587278,38758593:105519
-x65,50:9769461,38758593
-k65,50:9874979,38758593:105518
-)
-)
-k65,51:14330814,38758593:4455835
-k65,51:18786649,38758593:4455835
-)
-(65,52:2797019,39545025:15989630,550500,235932
-(65,46:2797019,39545025:0,550500,235932
-(65,46:2797019,39545025:0,550500,235932
-k65,46:2600411,39545025:-196608
-(65,46:2600411,39545025:196608,550500,235932
-r65,100:2600411,39545025:0,786432,235932
-k65,46:2600411,39545025:196608
-)
-)
-(65,46:2797019,39545025:0,550500,235932
-k65,46:2574197,39545025:-222822
-(65,46:2574197,39545025:0,550500,235932
-(65,46:2574197,39545025:26214,550500,235932
-r65,100:2574197,39545025:0,786432,235932
-k65,46:2574197,39545025:26214
-)
-k65,46:2574197,39545025:-26214
-)
-(65,46:2574197,39545025:26214,550500,235932
-r65,100:2574197,39545025:0,786432,235932
-k65,46:2574197,39545025:-131072
-r65,100:2600411,39545025:26214,786432,235932
-)
-)
-(65,46:2797019,39545025:0,550500,235932
-(65,46:2797019,39545025:15989630,550500,235932
-r65,100:2797019,39545025:0,786432,235932
-k65,46:2797019,39545025:15989630
-)
-(65,46:18786649,39545025:196608,550500,235932
-r65,100:18786649,39545025:0,786432,235932
-k65,46:18786649,39545025:196608
-)
-(65,46:18983257,39545025:0,550500,235932
-(65,46:18983257,39545025:26214,550500,235932
-r65,100:18983257,39545025:0,786432,235932
-k65,46:18983257,39545025:26214
-)
-k65,46:18983257,39545025:-26214
-)
-(65,46:18983257,39545025:26214,550500,235932
-r65,100:18983257,39545025:0,786432,235932
-r65,100:19009471,39545025:26214,786432,235932
-k65,46:19009471,39545025:131072
-)
-k65,46:2797019,39545025:-16212452
-)
-)
-(65,51:2797019,39545025:0,0,0
-(65,51:2797019,38758593:0,0,0
-)
-)
-(65,51:2797019,39545025:0,446948,9168
-k65,51:2141659,39545025:-655360
-x65,51:2469339,39545025
-)
-h65,51:2797019,39545025:0,0,0
-(65,51:2797019,39545025:393220,491520,163840
-(65,51:2797019,39545025:393220,491520,163840
-k65,51:2829789,39545025:32770
-x65,51:3157470,39545025
-k65,51:3190239,39545025:32769
-)
-)
-(65,51:3190239,39545025:3538980,437780,141880
-(65,51:3190239,39545025:3538980,437780,141880
-k65,51:3273019,39545025:82780
-x65,51:3855627,39545025
-k65,51:3938406,39545025:82779
-x65,51:4229382,39545025
-k65,51:4312162,39545025:82780
-x65,51:4567090,39545025
-k65,51:4649869,39545025:82779
-x65,51:4904797,39545025
-k65,51:4987577,39545025:82780
-x65,51:5278553,39545025
-k65,51:5361332,39545025:82779
-x65,51:5689012,39545025
-k65,51:5771792,39545025:82780
-x65,51:6062768,39545025
-k65,51:6145547,39545025:82779
-[65,51:6184867,39545025:196610,26214,0
-]
-k65,51:6464257,39545025:82780
-x65,51:6646440,39545025
-k65,51:6729219,39545025:82779
-)
-)
-(65,51:6729219,39545025:393220,298188,92400
-(65,51:6729219,39545025:393220,298188,92400
-k65,51:6834738,39545025:105519
-x65,51:7016921,39545025
-k65,51:7122439,39545025:105518
-)
-)
-k65,52:12954544,39545025:5832105
-k65,52:18786649,39545025:5832105
-)
-(65,52:2797019,39780957:15989630,0,222822
-(65,52:2797019,39780957:0,0,222822
-(65,52:2797019,39780957:0,0,222822
-(65,52:2797019,40003779:16212452,222822,0
-(65,52:2574197,40003779:16435274,222822,0
-r65,100:2574197,40003779:0,222822,0
-k65,52:2574197,40003779:16435274
-)
-)
-k65,52:2797019,39780957:-16212452
-)
-(65,52:2797019,39780957:0,0,222822
-(65,52:2797019,40003779:15989630,0,0
-(65,52:2797019,40003779:15989630,0,0
-r65,100:2797019,40003779:0,0,0
-k65,52:2797019,40003779:15989630
-)
-)
-k65,52:2797019,39780957:-15989630
-)
-(65,52:2797019,39780957:0,0,222822
-(65,52:2797019,39780957:0,0,222822
-(65,52:2797019,39780957:0,0,222822
-(65,52:2797019,40003779:15989630,222822,0
-(65,52:2797019,40003779:0,222822,0
-k65,52:2574197,40003779:-222822
-(65,52:2574197,40003779:222822,222822,0
-r65,100:2600411,40003779:26214,222822,0
-k65,52:2600411,40003779:-26214
-r65,100:2797019,40003779:222822,26214,0
-)
-)
-r65,100:18786649,40003779:15989630,26214,0
-(65,52:18786649,40003779:0,222822,0
-(65,52:18786649,40003779:222822,222822,0
-r65,100:19009471,40003779:222822,26214,0
-k65,52:19009471,40003779:-26214
-r65,100:19009471,40003779:26214,222822,0
-)
-k65,52:18786649,40003779:-222822
-)
-)
-k65,52:2797019,39780957:-15989630
-)
-h65,52:2797019,39780957:0,0,0
-)
-g65,52:2797019,39780957
-)
-)
-k65,52:10791834,39780957:7994815
-k65,52:18786649,39780957:7994815
-)
-(65,57:2797019,40997173:15989630,536337,170256
-h65,54:2797019,40997173:770040,0,0
-x65,54:4134860,40997173
-k65,54:4297003,40997173:162143
-x65,54:6961396,40997173
-k65,54:7123573,40997173:162177
-x65,54:9614158,40997173
-k65,54:9776336,40997173:162178
-x65,54:10431431,40997173
-k65,54:10593608,40997173:162177
-x65,54:10942779,40997173
-k65,54:11104957,40997173:162178
-x65,54:13332895,40997173
-k65,54:13495072,40997173:162177
-x65,54:14106908,40997173
-k65,54:14269085,40997173:162177
-x65,54:15230092,40997173
-k65,54:15392270,40997173:162178
-x65,54:16702448,40997173
-k65,54:16864625,40997173:162177
-x65,54:18786649,40997173
-k65,54:18786649,40997173:0
-)
-(65,57:2797019,41947445:15989630,536337,9038
-x65,57:4282560,41947445
-k65,54:4562390,41947445:279830
-x65,54:5304777,41947445
-k65,54:5584608,41947445:279831
-x65,54:6851529,41947445
-k65,54:7131359,41947445:279830
-x65,54:8266962,41947445
-k65,54:8546792,41947445:279830
-x65,54:9289179,41947445
-k65,54:9569010,41947445:279831
-x65,54:11360473,41947445
-x65,54:12408774,41947445
-k65,54:12688604,41947445:279830
-x65,54:13343699,41947445
-k65,54:13623530,41947445:279831
-x65,54:14584537,41947445
-k65,54:14864367,41947445:279830
-x65,54:16916934,41947445
-k65,55:14864367,41947445:-43248
-x65,55:17070294,41947445
-k65,55:17563753,41947445:493459
-x65,55:18786649,41947445
-k65,55:18786649,41947445:0
-)
-(65,57:2797019,42897717:15989630,536337,170256
-x65,57:4980923,42897717
-k65,55:5175180,42897717:194257
-x65,55:6529403,42897717
-k65,55:6723661,42897717:194258
-x65,55:7248195,42897717
-k65,55:7442452,42897717:194257
-x65,55:8578822,42897717
-k65,55:8773079,42897717:194257
-x65,55:9515466,42897717
-k65,55:9709724,42897717:194258
-x65,55:13641056,42897717
-k65,55:13835313,42897717:194257
-x65,55:14490408,42897717
-k65,55:14684665,42897717:194257
-x65,55:15645672,42897717
-k65,55:15839930,42897717:194258
-x65,55:17412765,42897717
-k65,55:17607022,42897717:194257
-x65,55:18786649,42897717
-k65,55:18786649,42897717:0
-)
-(65,57:2797019,43847989:15989630,536337,11002
-x65,57:3364820,43847989
-x65,55:4317964,43847989
-g65,55:4514572,43847989
-x65,55:5126408,43847989
-g65,55:5323016,43847989
-x65,55:9560260,43847989
-g65,55:9756868,43847989
-x65,55:11155125,43847989
-g65,55:11351733,43847989
-x65,55:12792462,43847989
-g65,56:12989070,43847989
-x65,56:15107694,43847989
-k65,57:18786649,43847989:3678955
-g65,57:18786649,43847989
-)
-(65,58:2797019,45832964:15989630,665187,285084
-g65,58:5332444,45832964
-r65,100:5332444,45832964:0,950271,285084
-(65,58:5332444,45832964:0,665187,285084
-k65,58:2797019,45832964:-2535425
-(65,58:2797019,45832964:2535425,665187,285084
-x65,58:4546012,45832964
-g65,58:5332444,45832964
-r65,100:5332444,45832964:0,950271,285084
-g65,58:5332444,45832964
-)
-)
-x65,58:5900245,45832964
-x65,58:7768001,45832964
-g65,58:7986621,45832964
-x65,58:8817079,45832964
-x65,58:11030867,45832964
-g65,58:11249487,45832964
-x65,58:11729996,45832964
-x65,58:12978839,45832964
-r65,100:12978839,45832964:0,950271,285084
-k65,58:18786649,45832964:5807810
-g65,58:18786649,45832964
-)
-(65,66:2797019,47291140:15989630,536337,170256
-x65,66:4019915,47291140
-k65,59:4188108,47291140:168193
-x65,59:4755909,47291140
-x65,59:5085419,47291140
-x65,59:6308305,47291140
-k65,59:6476498,47291140:168193
-x65,59:7568844,47291140
-x65,59:8879014,47291140
-k65,59:9047207,47291140:168193
-x65,59:9527716,47291140
-x65,59:10513107,47291140
-k65,59:10681300,47291140:168193
-x65,59:11205834,47291140
-k65,59:11374027,47291140:168193
-x65,59:11985077,47291140
-x65,59:13164725,47291140
-x65,59:13689259,47291140
-x65,59:14650266,47291140
-k65,59:14818459,47291140:168193
-x65,59:15735433,47291140
-k65,59:15903626,47291140:168193
-x65,59:16821376,47291140
-x65,59:18524770,47291140
-x65,59:18786649,47291140
-k65,66:18786649,47291140:0
-)
-(65,66:2797019,48241412:15989630,536337,170256
-x65,66:3802071,48241412
-k65,59:4189885,48241412:387814
-x65,59:5150892,48241412
-k65,59:5538706,48241412:387814
-x65,59:6849672,48241412
-k65,59:7237485,48241412:387813
-x65,59:8373088,48241412
-k65,59:8760902,48241412:387814
-x65,59:9415987,48241412
-x65,59:10726953,48241412
-x65,59:11732005,48241412
-k65,59:12119819,48241412:387814
-x65,59:13692662,48241412
-k65,59:14080476,48241412:387814
-x65,59:14692312,48241412
-k65,59:15080125,48241412:387813
-x65,59:16041132,48241412
-k65,59:16428946,48241412:387814
-x65,59:17127288,48241412
-x65,59:18524770,48241412
-x65,59:18786649,48241412
-k65,66:18786649,48241412:0
-)
-(65,66:2797019,49191684:15989630,536337,170256
-x65,66:3802071,49191684
-k65,60:4127761,49191684:325690
-x65,60:5897204,49191684
-k65,60:6528243,49191684:631039
-x65,60:8100310,49191684
-k65,61:8426000,49191684:325690
-x65,61:9824247,49191684
-k65,61:10149938,49191684:325691
-x65,61:10499109,49191684
-k65,61:10824799,49191684:325690
-x65,61:12179022,49191684
-x65,61:13184074,49191684
-x65,61:13795910,49191684
-x65,61:14494252,49191684
-x65,61:15717924,49191684
-k65,61:16043614,49191684:325690
-x65,61:16655450,49191684
-x65,61:17223241,49191684
-x65,61:17746999,49191684
-x65,61:18786649,49191684
-k65,61:18786649,49191684:0
-)
-(65,66:2797019,50141956:15989630,536337,170256
-x65,66:3626699,50141956
-x65,61:4687594,50141956
-x65,61:5120918,50141956
-x65,61:5259330,50141956
-k65,61:5553910,50141956:294580
-x65,61:6886899,50141956
-k65,61:7181479,50141956:294580
-x65,61:8622997,50141956
-k65,61:8917479,50141956:294482
-x65,61:9528529,50141956
-x65,61:10445491,50141956
-x65,61:10819046,50141956
-x65,61:11462342,50141956
-k65,61:11756921,50141956:294579
-x65,61:13263707,50141956
-k65,61:13582780,50141956:319073
-x65,61:14325934,50141956
-k65,61:14620514,50141956:294580
-x65,61:15232350,50141956
-x65,61:15800141,50141956
-x65,61:17328951,50141956
-k65,61:17623531,50141956:294580
-x65,61:18278616,50141956
-x65,61:18540495,50141956
-x65,61:18786649,50141956
-k65,66:18786649,50141956:0
-)
-(65,66:2797019,51092228:15989630,536337,11002
-x65,66:4107197,51092228
-k65,61:4447894,51092228:340697
-x65,61:5496205,51092228
-x65,61:6675832,51092228
-k65,62:7016529,51092228:340697
-x65,62:7802961,51092228
-x65,62:8240201,51092228
-x65,62:9332537,51092228
-k65,62:9673234,51092228:340697
-x65,62:10634241,51092228
-k65,62:10974938,51092228:340697
-x65,62:12504534,51092228
-x65,62:13509586,51092228
-x65,62:14121422,51092228
-x65,62:14819764,51092228
-x65,62:16043436,51092228
-k65,62:16384133,51092228:340697
-x65,62:17476479,51092228
-x65,62:18786649,51092228
-k65,62:18786649,51092228:0
-)
-]
-g65,100:18786649,51092228
-)
-k65,100:19579138,51092228:792489
-r65,100:19579138,51092228:0,45684659,0
-k65,100:20371627,51092228:792489
-(65,100:20371627,51092228:15989630,45684659,0
-[65,100:20371627,51092228:15989630,45684659,0
-(65,66:20371627,6194001:15989630,536337,11002
-x65,66:22119066,6194001
-k65,62:22327379,6194001:208313
-x65,62:23463749,6194001
-k65,62:23672062,6194001:208313
-x65,62:24021233,6194001
-x65,62:24394788,6194001
-x65,62:25540614,6194001
-k65,62:25819522,6194001:278908
-x65,62:27042418,6194001
-k65,62:27250731,6194001:208313
-x65,62:28780327,6194001
-x65,62:29785379,6194001
-x65,62:30397215,6194001
-x65,62:31095557,6194001
-x65,62:32319229,6194001
-k65,62:32527542,6194001:208313
-x65,62:33619888,6194001
-x65,62:34930058,6194001
-k65,62:35138371,6194001:208313
-x65,62:36099378,6194001
-x65,62:36361257,6194001
-k65,66:36361257,6194001:0
-)
-(65,66:20371627,7144273:15989630,536337,170256
-x65,66:21813123,7144273
-k65,63:22053428,7144273:240305
-x65,63:22839839,7144273
-k65,63:23080145,7144273:240306
-x65,63:23429316,7144273
-x65,63:24171694,7144273
-x65,63:24545249,7144273
-x65,63:25550301,7144273
-k65,63:25790606,7144273:240305
-x65,63:27100784,7144273
-k65,63:27341090,7144273:240306
-x65,63:28476693,7144273
-k65,63:28716998,7144273:240305
-x65,63:30420402,7144273
-k65,63:30660708,7144273:240306
-x65,63:31009879,7144273
-k65,63:31250184,7144273:240305
-x65,63:31992571,7144273
-x65,63:33740010,7144273
-k65,63:33980316,7144273:240306
-x65,63:34504850,7144273
-k65,63:34745155,7144273:240305
-x65,63:36099378,7144273
-x65,63:36361257,7144273
-k65,66:36361257,7144273:0
-)
-(65,66:20371627,8094545:15989630,536337,170256
-x65,66:22512261,8094545
-k65,63:22803250,8094545:290989
-x65,63:23414300,8094545
-x65,63:24331262,8094545
-x65,63:24704817,8094545
-x65,63:25632023,8094545
-k65,63:25946607,8094545:314584
-x65,63:26907614,8094545
-k65,63:27198603,8094545:290989
-x65,63:27766404,8094545
-x65,63:28095914,8094545
-x65,63:29318800,8094545
-k65,63:29609789,8094545:290989
-x65,63:30702135,8094545
-x65,63:32012305,8094545
-k65,63:32303294,8094545:290989
-x65,63:32783803,8094545
-x65,63:33769194,8094545
-k65,63:34060183,8094545:290989
-x65,63:34584717,8094545
-k65,64:34875706,8094545:290989
-x65,64:35662138,8094545
-x65,64:36099378,8094545
-x65,64:36361257,8094545
-k65,66:36361257,8094545:0
-)
-(65,66:20371627,9044817:15989630,536337,170256
-x65,66:21747873,9044817
-k65,64:21983234,9044817:235361
-x65,64:23250155,9044817
-k65,64:23477766,9044817:227611
-x65,64:24438773,9044817
-k65,64:24666383,9044817:227610
-x65,64:26020606,9044817
-x65,64:27768045,9044817
-k65,64:27995656,9044817:227611
-x65,64:28956663,9044817
-k65,64:29184274,9044817:227611
-x65,64:29533445,9044817
-k65,64:29761055,9044817:227610
-x65,64:31027976,9044817
-x65,64:32622056,9044817
-k65,64:32857417,9044817:235361
-x65,64:34997276,9044817
-k65,64:35224887,9044817:227611
-x65,64:36361257,9044817
-k65,64:36361257,9044817:0
-)
-(65,66:20371627,9995089:15989630,536337,170256
-x65,66:21725850,9995089
-x65,66:23473289,9995089
-k65,64:23709002,9995089:235713
-x65,64:24844605,9995089
-k65,64:25080318,9995089:235713
-x65,64:26827749,9995089
-k65,64:27063462,9995089:235713
-x65,64:28024469,9995089
-k65,64:28260183,9995089:235714
-x65,64:28871233,9995089
-x65,64:30268705,9995089
-x65,64:30705945,9995089
-x65,64:31079500,9995089
-x65,64:31416882,9995089
-k65,64:31652595,9995089:235713
-x65,64:32919516,9995089
-x65,64:34316988,9995089
-k65,65:34552701,9995089:235713
-x65,65:35164537,9995089
-k65,65:35400250,9995089:235713
-x65,65:36361257,9995089
-k65,65:36361257,9995089:0
-)
-(65,66:20371627,10945361:15989630,536337,170256
-x65,66:21638548,10945361
-k65,65:21909283,10945361:270735
-x65,65:23831307,10945361
-k65,65:24102041,10945361:270734
-x65,65:24626575,10945361
-k65,65:24897310,10945361:270735
-x65,65:25508360,10945361
-x65,65:26993922,10945361
-x65,65:27518456,10945361
-x65,65:28479463,10945361
-k65,65:28750197,10945361:270734
-x65,65:29667171,10945361
-k65,65:29937906,10945361:270735
-x65,65:30898913,10945361
-k65,65:31169647,10945361:270734
-x65,65:31518818,10945361
-x65,65:31900245,10945361
-x65,65:32237627,10945361
-x65,65:32980014,10945361
-x65,65:34203686,10945361
-k65,65:34474421,10945361:270735
-x65,65:35129516,10945361
-k65,65:35400250,10945361:270734
-x65,65:36361257,10945361
-k65,65:36361257,10945361:0
-)
-(65,66:20371627,11895633:15989630,536337,9038
-x65,66:21725850,11895633
-x65,66:23669897,11895633
-k65,66:36361257,11895633:12691360
-g65,66:36361257,11895633
-)
-(65,67:20371627,14463327:15989630,665187,285084
-g65,67:22907052,14463327
-r65,100:22907052,14463327:0,950271,285084
-(65,67:22907052,14463327:0,665187,285084
-k65,67:20371627,14463327:-2535425
-(65,67:20371627,14463327:2535425,665187,285084
-x65,67:22120620,14463327
-g65,67:22907052,14463327
-r65,100:22907052,14463327:0,950271,285084
-g65,67:22907052,14463327
-)
-)
-x65,67:24261274,14463327
-g65,67:24479894,14463327
-x65,67:25310352,14463327
-x65,67:27524140,14463327
-g65,67:27742760,14463327
-x65,67:28223269,14463327
-x65,67:29472112,14463327
-r65,100:29472112,14463327:0,950271,285084
-k65,67:36361257,14463327:6889145
-g65,67:36361257,14463327
-)
-(65,75:20371627,16091959:15989630,536337,110880
-x65,75:20852126,16091959
-k65,68:21099603,16091959:247477
-x65,68:23021609,16091959
-k65,68:23269085,16091959:247476
-x65,68:24448712,16091959
-k65,68:24696189,16091959:247477
-x65,68:25657196,16091959
-k65,68:25904673,16091959:247477
-x65,68:27302154,16091959
-k65,68:27549579,16091959:247425
-x65,68:28641925,16091959
-x65,68:29952095,16091959
-k65,68:30199572,16091959:247477
-x65,68:30680081,16091959
-x65,68:31665472,16091959
-k65,68:31912949,16091959:247477
-x65,68:32437483,16091959
-k65,68:32684959,16091959:247476
-x65,68:33690011,16091959
-k65,68:33937488,16091959:247477
-x65,68:36361257,16091959
-k65,68:36361257,16091959:0
-)
-(65,75:20371627,17042231:15989630,536337,170256
-x65,75:21070757,17042231
-k65,68:21269599,17042231:198842
-x65,68:21706839,17042231
-k65,68:21905681,17042231:198842
-x65,68:23129342,17042231
-k65,68:23328184,17042231:198842
-x65,68:24070571,17042231
-k65,68:24269413,17042231:198842
-x65,68:25230420,17042231
-x65,68:25964944,17042231
-k65,68:26163786,17042231:198842
-x65,68:27168838,17042231
-k65,68:27367680,17042231:198842
-x65,68:28022775,17042231
-k65,68:28221617,17042231:198842
-x65,68:29182624,17042231
-k65,68:29381466,17042231:198842
-x65,68:30167898,17042231
-x65,68:30517069,17042231
-x65,68:31346739,17042231
-x65,68:32351791,17042231
-k65,68:32550633,17042231:198842
-x65,68:33555677,17042231
-x65,68:34931912,17042231
-k65,69:35182406,17042231:250494
-x65,69:36099378,17042231
-x65,69:36361257,17042231
-k65,75:36361257,17042231:0
-)
-(65,75:20371627,17992503:15989630,536337,170256
-x65,75:20983463,17992503
-x65,75:22163090,17992503
-k65,70:22412853,17992503:249763
-x65,70:23373860,17992503
-k65,70:23623623,17992503:249763
-x65,70:24278718,17992503
-x65,70:24846509,17992503
-x65,70:26069395,17992503
-k65,70:26319158,17992503:249763
-x65,70:28328476,17992503
-x65,70:29726744,17992503
-x65,70:30731796,17992503
-k65,70:30981559,17992503:249763
-x65,70:32379816,17992503
-k65,70:32629579,17992503:249763
-x65,70:34027060,17992503
-k65,70:34276770,17992503:249710
-x65,70:34801304,17992503
-k65,70:35051067,17992503:249763
-x65,70:35706162,17992503
-x65,70:36361257,17992503
-k65,75:36361257,17992503:0
-)
-(65,75:20371627,18942775:15989630,536337,170256
-x65,75:21376679,18942775
-x65,75:22381731,18942775
-k65,70:22684025,18942775:302294
-x65,70:23645032,18942775
-k65,70:23947326,18942775:302294
-x65,70:25388822,18942775
-x65,70:26393853,18942775
-k65,70:26696147,18942775:302294
-x65,70:28094404,18942775
-k65,70:28396698,18942775:302294
-x65,70:29139085,18942775
-x65,70:29750135,18942775
-x65,70:30522416,18942775
-k65,70:30824710,18942775:302294
-x65,70:32746734,18942775
-k65,70:33049028,18942775:302294
-x65,70:33573562,18942775
-k65,70:33875856,18942775:302294
-x65,70:34225027,18942775
-k65,70:34527321,18942775:302294
-x65,70:36361257,18942775
-k65,71:36361257,18942775:0
-)
-(65,75:20371627,19893047:15989630,536337,170256
-x65,75:21420703,19893047
-x65,75:22600330,19893047
-k65,71:22835659,19893047:235329
-x65,71:26001798,19893047
-k65,71:26361753,19893047:359955
-x65,71:28195699,19893047
-x65,71:29461844,19893047
-k65,71:29697173,19893047:235329
-x65,71:30134413,19893047
-k65,71:30369742,19893047:235329
-x65,71:30894276,19893047
-k65,71:31129605,19893047:235329
-x65,71:32221163,19893047
-x65,71:32876248,19893047
-x65,71:34186428,19893047
-k65,71:34421757,19893047:235329
-x65,71:35033593,19893047
-k65,71:35268922,19893047:235329
-x65,71:36099378,19893047
-x65,71:36361257,19893047
-k65,75:36361257,19893047:0
-)
-(65,75:20371627,20843319:15989630,536337,170256
-x65,75:21332634,20843319
-x65,75:22905477,20843319
-k65,71:23167757,20843319:262280
-x65,71:24827894,20843319
-k65,71:25090173,20843319:262279
-x65,71:26488441,20843319
-k65,71:26750721,20843319:262280
-x65,71:27405816,20843319
-k65,71:27668095,20843319:262279
-x65,71:28716406,20843319
-x65,71:29328242,20843319
-x65,71:30289249,20843319
-k65,71:30551529,20843319:262280
-x65,71:31163365,20843319
-k65,71:31425644,20843319:262279
-x65,71:32779867,20843319
-x65,71:33871425,20843319
-k65,72:34133705,20843319:262280
-x65,72:35094712,20843319
-k65,72:35356991,20843319:262279
-x65,72:36099378,20843319
-x65,72:36361257,20843319
-k65,75:36361257,20843319:0
-)
-(65,75:20371627,21793591:15989630,536337,170256
-x65,75:20982677,21793591
-x65,75:21754958,21793591
-k65,72:22004758,21793591:249800
-x65,72:23140361,21793591
-x65,72:24451327,21793591
-x65,72:25674999,21793591
-k65,72:25924799,21793591:249800
-x65,72:26579894,21793591
-k65,72:26829693,21793591:249799
-x65,72:27616104,21793591
-k65,72:27865904,21793591:249800
-x65,72:29307400,21793591
-x65,72:30312431,21793591
-k65,72:30562231,21793591:249800
-x65,72:31916454,21793591
-x65,72:32702886,21793591
-x65,72:34559631,21793591
-k65,72:34962998,21793591:403367
-x65,72:36361257,21793591
-k65,72:36361257,21793591:0
-)
-(65,75:20371627,22743863:15989630,536337,170256
-x65,75:21769108,22743863
-k65,72:22001965,22743863:232857
-x65,72:23094311,22743863
-x65,72:24404481,22743863
-k65,72:24637375,22743863:232894
-x65,72:25117884,22743863
-x65,72:26409189,22743863
-k65,72:26642082,22743863:232893
-x65,72:27209883,22743863
-x65,72:27857105,22743863
-k65,72:28089998,22743863:232893
-x65,72:29662841,22743863
-k65,72:29895735,22743863:232894
-x65,72:30507571,22743863
-k65,72:30740464,22743863:232893
-x65,72:31876067,22743863
-x65,72:32837074,22743863
-k65,73:33069968,22743863:232894
-x65,73:34206338,22743863
-k65,73:34439231,22743863:232893
-x65,73:35487542,22743863
-x65,73:36099378,22743863
-x65,73:36361257,22743863
-k65,75:36361257,22743863:0
-)
-(65,75:20371627,23694135:15989630,536337,170256
-x65,75:21529242,23694135
-k65,73:21775054,23694135:245812
-x65,73:22168270,23694135
-x65,73:22764381,23694135
-k65,73:23000352,23694135:235971
-x65,73:24485903,23694135
-k65,73:24721874,23694135:235971
-x65,73:25508306,23694135
-x65,73:26056446,23694135
-k65,73:26292418,23694135:235972
-x65,73:26729658,23694135
-k65,73:26965629,23694135:235971
-x65,73:28669811,23694135
-k65,73:28905782,23694135:235971
-x65,73:29736238,23694135
-x65,73:30077546,23694135
-k65,73:30313517,23694135:235971
-x65,73:30794016,23694135
-k65,73:31029987,23694135:235971
-x65,73:32166357,23694135
-k65,73:32402329,23694135:235972
-x65,73:33669250,23694135
-k65,73:33905221,23694135:235971
-x65,73:34429755,23694135
-k65,73:34665726,23694135:235971
-x65,73:35626733,23694135
-x65,73:36361257,23694135
-k65,73:36361257,23694135:0
-)
-(65,75:20371627,24644407:15989630,536337,170256
-x65,75:20764843,24644407
-x65,73:21146270,24644407
-x65,73:21745531,24644407
-g65,73:21942139,24644407
-x65,73:22728571,24644407
-g65,73:22925179,24644407
-x65,73:23886186,24644407
-g65,73:24082794,24644407
-x65,73:24650595,24644407
-x65,73:24980105,24644407
-x65,73:26202991,24644407
-g65,73:26399599,24644407
-x65,73:27491945,24644407
-x65,73:28802115,24644407
-x65,73:29807167,24644407
-g65,74:30003775,24644407
-x65,74:30484284,24644407
-x65,74:31666283,24644407
-k65,75:36361257,24644407:4694974
-g65,75:36361257,24644407
-)
-(65,76:20371627,27212102:15989630,665187,285084
-g65,76:22907052,27212102
-r65,100:22907052,27212102:0,950271,285084
-(65,76:22907052,27212102:0,665187,285084
-k65,76:20371627,27212102:-2535425
-(65,76:20371627,27212102:2535425,665187,285084
-x65,76:22120620,27212102
-g65,76:22907052,27212102
-r65,100:22907052,27212102:0,950271,285084
-g65,76:22907052,27212102
-)
-)
-x65,76:25353622,27212102
-x65,76:28833554,27212102
-g65,76:29052174,27212102
-x65,76:29882632,27212102
-x65,76:32096420,27212102
-g65,76:32315040,27212102
-x65,76:32795549,27212102
-x65,76:34044392,27212102
-r65,100:34044392,27212102:0,950271,285084
-k65,76:36361257,27212102:2316865
-g65,76:36361257,27212102
-)
-(65,85:20371627,28840734:15989630,536337,170256
-x65,85:21769886,28840734
-k65,77:22394188,28840734:624302
-x65,77:23967023,28840734
-k65,77:24591325,28840734:624302
-x65,77:25377757,28840734
-x65,77:27081153,28840734
-k65,77:27705456,28840734:624303
-x65,77:28229990,28840734
-k65,77:28854292,28840734:624302
-x65,77:29466128,28840734
-k65,77:30090430,28840734:624302
-x65,77:30701480,28840734
-x65,77:31618442,28840734
-x65,77:31991997,28840734
-x65,77:32329379,28840734
-k65,77:32953681,28840734:624302
-x65,77:34307904,28840734
-x65,77:36361257,28840734
-k65,77:36361257,28840734:0
-)
-(65,85:20371627,29791006:15989630,536337,170256
-x65,85:21507230,29791006
-k65,77:21848023,29791006:340793
-x65,77:23289540,29791006
-k65,77:23630333,29791006:340793
-x65,77:24241383,29791006
-x65,77:26687944,29791006
-k65,77:27028738,29791006:340794
-x65,77:27421954,29791006
-x65,77:27803381,29791006
-x65,77:28402642,29791006
-k65,77:28743435,29791006:340793
-x65,77:29704442,29791006
-k65,77:30045235,29791006:340793
-x65,77:30656285,29791006
-x65,77:32272377,29791006
-x65,77:33277429,29791006
-k65,77:33618222,29791006:340793
-x65,77:34230058,29791006
-x65,77:34797849,29791006
-x65,77:35321607,29791006
-x65,77:36361257,29791006
-k65,77:36361257,29791006:0
-)
-(65,85:20371627,30741278:15989630,536337,170256
-x65,85:21201307,30741278
-x65,78:22262202,30741278
-x65,78:22695526,30741278
-x65,78:22833938,30741278
-k65,78:23038498,30741278:204560
-x65,78:24371487,30741278
-k65,78:24576047,30741278:204560
-x65,78:26214173,30741278
-k65,78:26418733,30741278:204560
-x65,78:28296723,30741278
-k65,79:28501283,30741278:204560
-x65,79:28850454,30741278
-x65,79:29224009,30741278
-x65,79:29823270,30741278
-k65,79:30027829,30741278:204559
-x65,79:30377000,30741278
-k65,79:30581560,30741278:204560
-x65,79:31935783,30741278
-k65,79:32140343,30741278:204560
-x65,79:32489514,30741278
-x65,79:33231892,30741278
-x65,79:33605447,30741278
-x65,79:34248743,30741278
-k65,79:34453303,30741278:204560
-x65,79:35021094,30741278
-k65,79:35225654,30741278:204560
-x65,79:36361257,30741278
-k65,79:36361257,30741278:0
-)
-(65,85:20371627,31691550:15989630,536337,170256
-x65,85:21026722,31691550
-k65,79:21366536,31691550:339814
-x65,79:22327543,31691550
-k65,79:22667357,31691550:339814
-x65,79:23279193,31691550
-x65,79:23846984,31691550
-x65,79:24370742,31691550
-x65,79:25912914,31691550
-k65,79:26288530,31691550:375616
-x65,79:27249537,31691550
-k65,79:27589351,31691550:339814
-x65,79:28200401,31691550
-x65,79:29597873,31691550
-x65,79:30035113,31691550
-x65,79:30408668,31691550
-x65,79:30746050,31691550
-k65,79:31085864,31691550:339814
-x65,79:31697700,31691550
-x65,79:32265491,31691550
-x65,79:33794301,31691550
-k65,79:34134115,31691550:339814
-x65,79:34789200,31691550
-x65,79:36361257,31691550
-k65,79:36361257,31691550:0
-)
-(65,85:20371627,32641822:15989630,536337,170256
-x65,85:21419938,32641822
-x65,85:22599565,32641822
-k65,79:22773346,32641822:173781
-x65,79:23997020,32641822
-k65,80:24170778,32641822:173758
-x65,80:24695312,32641822
-k65,80:24869093,32641822:173781
-x65,80:25961428,32641822
-x65,80:27380922,32641822
-k65,80:27617105,32641822:236183
-x65,80:28840001,32641822
-k65,80:29013783,32641822:173782
-x65,80:30237457,32641822
-k65,80:30411215,32641822:173758
-x65,80:32420523,32641822
-k65,80:32594304,32641822:173781
-x65,80:33555311,32641822
-k65,80:33729092,32641822:173781
-x65,80:34340142,32641822
-x65,80:35257104,32641822
-x65,80:35630659,32641822
-x65,80:36361257,32641822
-k65,80:36361257,32641822:0
-)
-(65,85:20371627,33592094:15989630,536337,170256
-x65,85:21725850,33592094
-k65,80:21888361,33592094:162511
-x65,80:22500197,33592094
-k65,80:22662708,33592094:162511
-x65,80:23011879,33592094
-k65,80:23174389,33592094:162510
-x65,80:23567605,33592094
-x65,80:23945096,33592094
-x65,80:25060242,33592094
-k65,80:25222753,33592094:162511
-x65,80:26358356,33592094
-k65,80:26520867,33592094:162511
-x65,80:27001366,33592094
-k65,80:27163877,33592094:162511
-x65,80:28124884,33592094
-k65,80:28287395,33592094:162511
-x65,80:29422998,33592094
-k65,80:29585509,33592094:162511
-x65,80:30240604,33592094
-k65,80:30403114,33592094:162510
-x65,80:32237050,33592094
-k65,80:32399561,33592094:162511
-x65,80:33885122,33592094
-k65,80:34047599,33592094:162477
-x65,80:35139157,33592094
-x65,80:36099378,33592094
-x65,80:36361257,33592094
-k65,85:36361257,33592094:0
-)
-(65,85:20371627,34542366:15989630,536337,170256
-x65,85:21201297,34542366
-k65,81:21401485,34542366:200188
-x65,81:21926019,34542366
-k65,81:22126207,34542366:200188
-x65,81:22737257,34542366
-x65,81:23654219,34542366
-x65,81:24027774,34542366
-x65,81:24954980,34542366
-k65,81:25156062,34542366:201082
-x65,81:25593302,34542366
-k65,81:25793490,34542366:200188
-x65,81:27540921,34542366
-k65,81:27741109,34542366:200188
-x65,81:28090280,34542366
-k65,81:28290468,34542366:200188
-x65,81:29557389,34542366
-x65,81:30954861,34542366
-k65,81:31155048,34542366:200187
-x65,81:31766884,34542366
-k65,81:31967072,34542366:200188
-x65,81:32928079,34542366
-k65,81:33128267,34542366:200188
-x65,81:34482490,34542366
-x65,81:35487542,34542366
-x65,81:36099378,34542366
-x65,81:36361257,34542366
-k65,85:36361257,34542366:0
-)
-(65,85:20371627,35492638:15989630,536337,170256
-x65,85:21069969,35492638
-x65,85:22293641,35492638
-k65,81:22485692,35492638:192051
-x65,81:23949221,35492638
-k65,81:24191494,35492638:242273
-x65,81:25414390,35492638
-k65,81:25606441,35492638:192051
-x65,81:26960664,35492638
-x65,81:27965716,35492638
-x65,81:28577552,35492638
-x65,81:29275894,35492638
-x65,81:30499566,35492638
-k65,81:30691618,35492638:192052
-x65,81:31958539,35492638
-k65,81:32150590,35492638:192051
-x65,81:33504813,35492638
-k65,82:33696864,35492638:192051
-x65,82:35007042,35492638
-x65,82:35400258,35492638
-x65,82:36361257,35492638
-k65,82:36361257,35492638:0
-)
-(65,85:20371627,36442910:15989630,536337,11002
-x65,85:21332634,36442910
-k65,82:21546440,36442910:213806
-x65,82:22157490,36442910
-x65,82:23074452,36442910
-x65,82:23448007,36442910
-x65,82:24178605,36442910
-k65,82:24392410,36442910:213805
-x65,82:26226346,36442910
-k65,82:26440152,36442910:213806
-x65,82:27575755,36442910
-k65,82:27789560,36442910:213805
-x65,82:28401396,36442910
-x65,82:30236120,36442910
-k65,82:30449926,36442910:213806
-x65,82:31410933,36442910
-k65,82:31624738,36442910:213805
-x65,82:32192539,36442910
-x65,82:32522049,36442910
-x65,82:33744935,36442910
-k65,82:33958741,36442910:213806
-x65,82:35051087,36442910
-x65,82:36361257,36442910
-k65,82:36361257,36442910:0
-)
-(65,85:20371627,37393182:15989630,536337,11002
-x65,85:20852136,37393182
-x65,82:22034135,37393182
-k65,82:22557515,37393182:523380
-x65,82:23780411,37393182
-k65,83:24070215,37393182:289804
-x65,83:25599811,37393182
-x65,83:26604863,37393182
-x65,83:27216699,37393182
-x65,83:27915041,37393182
-x65,83:29138713,37393182
-k65,83:29428517,37393182:289804
-x65,83:29909026,37393182
-x65,83:30894417,37393182
-k65,83:31184221,37393182:289804
-x65,83:32275779,37393182
-k65,83:32565583,37393182:289804
-x65,83:33832504,37393182
-k65,83:34122308,37393182:289804
-x65,83:34733358,37393182
-x65,83:35650320,37393182
-x65,83:36023875,37393182
-x65,83:36361257,37393182
-k65,83:36361257,37393182:0
-)
-(65,85:20371627,38343454:15989630,536337,170256
-x65,85:21638548,38343454
-x65,85:23341934,38343454
-k65,83:23617588,38343454:275654
-x65,83:25146398,38343454
-k65,83:25422052,38343454:275654
-x65,83:27038154,38343454
-k65,83:27313807,38343454:275653
-x65,83:29083250,38343454
-k65,83:29564180,38343454:480930
-x65,83:31442161,38343454
-k65,83:31717814,38343454:275653
-x65,83:32984735,38343454
-x65,83:34688121,38343454
-k65,83:34963775,38343454:275654
-x65,83:36099378,38343454
-x65,83:36361257,38343454
-k65,85:36361257,38343454:0
-)
-(65,85:20371627,39293726:15989630,536337,11002
-x65,85:21551254,39293726
-k65,83:21848533,39293726:297279
-x65,83:23158711,39293726
-k65,83:23455989,39293726:297278
-x65,83:25595839,39293726
-k65,84:25893118,39293726:297279
-x65,84:27072745,39293726
-k65,84:27370024,39293726:297279
-x65,84:28855565,39293726
-k65,84:29152844,39293726:297279
-x65,84:29895231,39293726
-k65,84:30192509,39293726:297278
-x65,84:31459430,39293726
-k65,84:31756709,39293726:297279
-x65,84:32717716,39293726
-k65,84:33014995,39293726:297279
-x65,84:34150598,39293726
-k65,84:34447876,39293726:297278
-x65,84:35102971,39293726
-k65,84:35400250,39293726:297279
-x65,84:36361257,39293726
-k65,84:36361257,39293726:0
-)
-(65,85:20371627,40243998:15989630,536337,170256
-x65,85:20983463,40243998
-x65,85:21551254,40243998
-x65,85:22075012,40243998
-x65,85:23617184,40243998
-k65,84:23855831,40243998:238647
-x65,84:25733821,40243998
-k65,84:25914995,40243998:181174
-x65,84:27356512,40243998
-k65,84:27537686,40243998:181174
-x65,84:27886857,40243998
-k65,84:28068031,40243998:181174
-x65,84:29334952,40243998
-x65,84:30732424,40243998
-k65,84:30913598,40243998:181174
-x65,84:31438132,40243998
-k65,84:31619305,40243998:181173
-x65,84:32230355,40243998
-x65,84:33147317,40243998
-x65,84:33520872,40243998
-x65,84:34251470,40243998
-k65,84:34432644,40243998:181174
-x65,84:35219076,40243998
-k65,84:35400250,40243998:181174
-x65,84:36361257,40243998
-k65,84:36361257,40243998:0
-)
-(65,85:20371627,41194270:15989630,536337,170256
-x65,85:21901223,41194270
-x65,85:22906275,41194270
-x65,85:23518111,41194270
-x65,85:24216453,41194270
-x65,85:25440125,41194270
-k65,84:25741183,41194270:301058
-x65,84:26221692,41194270
-x65,84:27403691,41194270
-k65,84:27730862,41194270:327171
-x65,84:28168102,41194270
-k65,84:28469160,41194270:301058
-x65,84:30216591,41194270
-k65,84:30517649,41194270:301058
-x65,84:31478656,41194270
-k65,84:31779714,41194270:301058
-x65,84:33046635,41194270
-x65,84:34750021,41194270
-k65,84:35051079,41194270:301058
-x65,84:36361257,41194270
-k65,84:36361257,41194270:0
-)
-(65,85:20371627,42144542:15989630,536337,170256
-x65,85:20764843,42144542
-x65,84:21146270,42144542
-x65,84:21745531,42144542
-g65,84:21942139,42144542
-x65,84:22903146,42144542
-g65,84:23099754,42144542
-x65,84:23710804,42144542
-x65,84:25108276,42144542
-x65,84:25545516,42144542
-x65,84:25919071,42144542
-x65,84:26256453,42144542
-g65,84:26453061,42144542
-x65,84:27064897,42144542
-x65,84:27632688,42144542
-x65,84:28156446,42144542
-x65,84:29392704,42144542
-k65,85:36361257,42144542:6968553
-g65,85:36361257,42144542
-)
-(65,86:20371627,44712236:15989630,665187,285084
-g65,86:22907052,44712236
-r65,100:22907052,44712236:0,950271,285084
-(65,86:22907052,44712236:0,665187,285084
-k65,86:20371627,44712236:-2535425
-(65,86:20371627,44712236:2535425,665187,285084
-x65,86:22120620,44712236
-g65,86:22907052,44712236
-r65,100:22907052,44712236:0,950271,285084
-g65,86:22907052,44712236
-)
-)
-x65,86:24829853,44712236
-g65,86:25048473,44712236
-x65,86:28937348,44712236
-g65,86:29155968,44712236
-x65,86:29986426,44712236
-x65,86:32200214,44712236
-g65,86:32418834,44712236
-x65,86:32899343,44712236
-x65,86:34148186,44712236
-r65,100:34148186,44712236:0,950271,285084
-k65,86:36361257,44712236:2213071
-g65,86:36361257,44712236
-)
-(65,91:20371627,46340868:15989630,536337,170256
-x65,91:21594523,46340868
-k65,87:21783863,46340868:189340
-x65,87:23531291,46340868
-k65,87:23720631,46340868:189340
-x65,87:26952845,46340868
-k65,87:27142185,46340868:189340
-x65,87:27622694,46340868
-x65,87:28608085,46340868
-k65,87:28797424,46340868:189339
-x65,87:29321958,46340868
-k65,87:29511298,46340868:189340
-x65,87:30079099,46340868
-x65,87:31862699,46340868
-k65,87:32052039,46340868:189340
-x65,87:32969013,46340868
-k65,87:33158353,46340868:189340
-x65,87:33507524,46340868
-k65,87:33696864,46340868:189340
-x65,87:36361257,46340868
-k65,87:36361257,46340868:0
-)
-(65,91:20371627,47291140:15989630,536337,170256
-x65,91:22293651,47291140
-k65,87:22487995,47291140:194344
-x65,87:23012529,47291140
-k65,87:23206872,47291140:194343
-x65,87:24473793,47291140
-k65,87:24668137,47291140:194344
-x65,87:25454569,47291140
-k65,87:25648913,47291140:194344
-x65,87:26609920,47291140
-k65,87:26804263,47291140:194343
-x65,87:29512700,47291140
-k65,87:29707044,47291140:194344
-x65,87:31934186,47291140
-k65,87:32128530,47291140:194344
-x65,87:34356468,47291140
-k65,88:34550811,47291140:194343
-x65,88:35205906,47291140
-k65,88:35400250,47291140:194344
-x65,88:36361257,47291140
-k65,88:36361257,47291140:0
-)
-(65,91:20371627,48241412:15989630,536337,170256
-x65,91:23472503,48241412
-k65,88:23673922,48241412:201419
-x65,88:24023093,48241412
-x65,88:26195197,48241412
-k65,88:26396616,48241412:201419
-x65,88:29301661,48241412
-k65,88:29559885,48241412:258224
-x65,88:31437875,48241412
-k65,88:31639294,48241412:201419
-x65,88:31988465,48241412
-x65,88:32362020,48241412
-x65,88:32961281,48241412
-k65,88:33162700,48241412:201419
-x65,88:33905087,48241412
-k65,88:34106506,48241412:201419
-x65,88:35635304,48241412
-k65,88:35836723,48241412:201419
-x65,88:36361257,48241412
-k65,88:36361257,48241412:0
-)
-(65,91:20371627,49191684:15989630,536337,170256
-x65,91:22730894,49191684
-k65,88:22968670,49191684:237776
-x65,88:23755102,49191684
-k65,88:23992877,49191684:237775
-x65,88:24953884,49191684
-k65,88:25191660,49191684:237776
-x65,88:27638187,49191684
-k65,88:27875962,49191684:237775
-x65,88:29230195,49191684
-k65,89:29467971,49191684:237776
-x65,89:29992505,49191684
-k65,89:30230280,49191684:237775
-x65,89:32458993,49191684
-k65,89:32696769,49191684:237776
-x65,89:33308605,49191684
-k65,89:33546380,49191684:237775
-x65,89:35162474,49191684
-k65,89:35400250,49191684:237776
-x65,89:36361257,49191684
-k65,89:36361257,49191684:0
-)
-(65,91:20371627,50141956:15989630,536337,170256
-x65,91:22119055,50141956
-k65,89:22285016,50141956:165961
-x65,89:25517230,50141956
-k65,89:25683191,50141956:165961
-x65,89:28021231,50141956
-k65,89:28193321,50141956:172090
-x65,89:29328924,50141956
-k65,89:29494885,50141956:165961
-x65,89:30761806,50141956
-k65,89:30927767,50141956:165961
-x65,89:32019325,50141956
-k65,89:32185286,50141956:165961
-x65,89:34631067,50141956
-k65,89:34797028,50141956:165961
-x65,89:35408864,50141956
-k65,89:35574825,50141956:165961
-x65,89:36361257,50141956
-k65,89:36361257,50141956:0
-)
-(65,91:20371627,51092228:15989630,536337,170256
-x65,91:21267365,51092228
-g65,89:21463973,51092228
-x65,89:22206360,51092228
-g65,89:22402968,51092228
-x65,89:22752139,51092228
-x65,89:24924243,51092228
-g65,89:25120851,51092228
-x65,89:27829288,51092228
-g65,90:28025896,51092228
-x65,90:28550430,51092228
-g65,90:28747038,51092228
-x65,90:31258867,51092228
-k65,91:36361257,51092228:5102390
-g65,91:36361257,51092228
-)
-]
-g65,100:36361257,51092228
-)
-)
-]
-(65,100:2797019,53889247:33564238,0,0
-h65,100:2797019,53889247:33564238,0,0
-)
-]
-]
-]
-)
-!67198
-}4
-Input:68:D:\Data\FH\8.Semester\MurSat\mur.sat\doc\MPU\inputs/ComponentArchitecture.tex
-Input:69:D:\Data\FH\8.Semester\MurSat\mur.sat\doc\MPU\inputs/ProcessTask_Kernel.tex
-Input:70:D:\Data\FH\8.Semester\MurSat\mur.sat\doc\MPU\inputs/ProcessTask_IHU.tex
-Input:71:D:\Data\FH\8.Semester\MurSat\mur.sat\doc\MPU\inputs/ProcessTask_LightSens.tex
-Input:72:D:\Data\FH\8.Semester\MurSat\mur.sat\doc\MPU\inputs/ProcessTask_Camera.tex
-Input:73:D:\Data\FH\8.Semester\MurSat\mur.sat\doc\MPU\inputs/ProcessTask_SDCard.tex
-!517
-{5
-(1,31:4736286,53889247:31624971,49152961,0
-[1,31:4736286,53889247:31624971,49152961,0
-[1,31:4736286,4736286:0,0,0
-(1,31:4736286,680090:0,0,0
-k1,31:4736286,680090:2005048
-)
-]
-[1,31:4736286,53889247:31624971,49152961,0
-[1,31:2797019,53889247:33564238,53143376,0
-[1,31:2797019,2610550:33564238,1864679,0
-(1,31:2797019,2610550:33564238,0,0
-h1,31:2797019,2610550:33564238,0,0
-)
-]
-[1,31:2797019,51092228:33564238,45684659,0
-(1,31:2797019,51092228:33564238,45684659,0
-(1,31:2797019,51092228:15989630,45684659,0
-[70,6:2797019,51092228:15989630,45684659,0
-(65,92:2797019,6194001:15989630,665187,285084
-g65,92:5332444,6194001
-r1,31:5332444,6194001:0,950271,285084
-(65,92:5332444,6194001:0,665187,285084
-k65,92:2797019,6194001:-2535425
-(65,92:2797019,6194001:2535425,665187,285084
-x65,92:4546012,6194001
-g65,92:5332444,6194001
-r1,31:5332444,6194001:0,950271,285084
-g65,92:5332444,6194001
-)
-)
-x65,92:7254470,6194001
-g65,92:7473090,6194001
-x65,92:10139068,6194001
-g65,92:10357688,6194001
-x65,92:11188146,6194001
-x65,92:13401934,6194001
-g65,92:13620554,6194001
-x65,92:14101063,6194001
-x65,92:15349906,6194001
-r1,31:15349906,6194001:0,950271,285084
-k65,92:18786649,6194001:3436743
-g65,92:18786649,6194001
-)
-(65,100:2797019,7690019:15989630,536337,170256
-x65,100:4019915,7690019
-k65,93:4309891,7690019:289976
-x65,93:6014072,7690019
-k65,93:6304047,7690019:289975
-x65,93:7483685,7690019
-x65,93:8444694,7690019
-k65,93:8734670,7690019:289976
-x65,93:9827016,7690019
-x65,93:11137186,7690019
-k65,93:11427161,7690019:289975
-x65,93:11907670,7690019
-x65,93:12893061,7690019
-k65,93:13183037,7690019:289976
-x65,93:13707571,7690019
-k65,93:13997546,7690019:289975
-x65,93:14608596,7690019
-x65,93:16094158,7690019
-x65,93:16618692,7690019
-x65,93:17579699,7690019
-k65,93:17869675,7690019:289976
-x65,93:18786649,7690019
-k65,93:18786649,7690019:0
-)
-(65,100:2797019,8640291:15989630,536337,170256
-x65,100:3932622,8640291
-x65,100:5549510,8640291
-k65,93:5828263,8640291:278753
-x65,93:7270555,8640291
-k65,93:7549308,8640291:278753
-x65,93:8597609,8640291
-x65,93:9558618,8640291
-k65,93:9837372,8640291:278754
-x65,93:10448422,8640291
-x65,93:11977220,8640291
-k65,93:12255973,8640291:278753
-x65,93:13763547,8640291
-k65,93:14253775,8640291:490228
-x65,93:15476671,8640291
-k65,94:15755424,8640291:278753
-x65,94:17197716,8640291
-k65,94:17476469,8640291:278753
-x65,94:18524770,8640291
-x65,94:18786649,8640291
-k65,100:18786649,8640291:0
-)
-(65,100:2797019,9590563:15989630,536337,170256
-x65,100:4063942,9590563
-k65,94:4236493,9590563:172551
-x65,94:5196714,9590563
-k65,94:5369265,9590563:172551
-x65,94:7421830,9590563
-k65,94:7594381,9590563:172551
-x65,94:9035898,9590563
-k65,94:9208449,9590563:172551
-x65,94:9776250,9590563
-x65,94:10510774,9590563
-k65,94:10683325,9590563:172551
-x65,94:11862952,9590563
-k65,94:12035503,9590563:172551
-x65,94:12996510,9590563
-x65,94:13377937,9590563
-k65,94:13550488,9590563:172551
-x65,94:14292875,9590563
-x65,94:15428457,9590563
-k65,94:15601008,9590563:172551
-x65,94:17043300,9590563
-k65,94:17215851,9590563:172551
-x65,94:17827687,9590563
-k65,94:18000238,9590563:172551
-x65,94:18786649,9590563
-k65,94:18786649,9590563:0
-)
-(65,100:2797019,10540835:15989630,536337,9038
-x65,100:4369076,10540835
-k65,94:4562557,10540835:193481
-x65,94:5786229,10540835
-x65,94:7140452,10540835
-x65,94:8757332,10540835
-k65,94:8950813,10540835:193481
-x65,94:9562649,10540835
-k65,94:9756130,10540835:193481
-x65,94:10367180,10540835
-x65,94:10934971,10540835
-x65,94:12158643,10540835
-k65,95:12352124,10540835:193481
-x65,95:12963960,10540835
-k65,95:13157441,10540835:193481
-x65,95:14118448,10540835
-k65,95:14311929,10540835:193481
-x65,95:15753425,10540835
-x65,95:17064370,10540835
-k65,95:17257851,10540835:193481
-x65,95:17869687,10540835
-x65,95:18786649,10540835
-k65,95:18786649,10540835:0
-)
-(65,100:2797019,11491107:15989630,536337,170256
-x65,100:3539406,11491107
-x65,100:3932622,11491107
-x65,100:4806337,11491107
-x65,100:6116515,11491107
-k65,95:6308418,11491107:191903
-x65,95:7313462,11491107
-x65,95:8689697,11491107
-k65,95:8931921,11491107:242224
-x65,95:10809911,11491107
-k65,95:11001815,11491107:191904
-x65,95:12268736,11491107
-x65,95:13885624,11491107
-k65,95:14077527,11491107:191903
-x65,95:15038534,11491107
-k65,95:15230438,11491107:191904
-x65,95:15842274,11491107
-x65,95:16803281,11491107
-x65,95:17939651,11491107
-k65,95:18131554,11491107:191903
-x65,95:18786649,11491107
-k65,95:18786649,11491107:0
-)
-(65,100:2797019,12441379:15989630,536337,170256
-x65,100:4239311,12441379
-k65,95:4448077,12441379:208766
-x65,95:5365051,12441379
-k65,96:5573818,12441379:208767
-x65,96:7189910,12441379
-k65,96:7398676,12441379:208766
-x65,96:8010512,12441379
-x65,96:8970733,12441379
-x65,96:10500319,12441379
-k65,96:10709085,12441379:208766
-x65,96:11146325,12441379
-k65,96:11355092,12441379:208767
-x65,96:12840633,12441379
-k65,96:13049399,12441379:208766
-x65,96:13791786,12441379
-k65,96:14000552,12441379:208766
-x65,96:15092898,12441379
-x65,96:15617432,12441379
-x65,96:16578439,12441379
-k65,96:16787206,12441379:208767
-x65,96:17399042,12441379
-k65,96:17607808,12441379:208766
-x65,96:18524770,12441379
-x65,96:18786649,12441379
-k65,100:18786649,12441379:0
-)
-(65,100:2797019,13391651:15989630,536337,170256
-x65,100:3539406,13391651
-x65,100:4674988,13391651
-k65,96:4910499,13391651:235511
-x65,96:5871506,13391651
-k65,96:6107018,13391651:235512
-x65,96:7548514,13391651
-x65,96:9056067,13391651
-k65,96:9301304,13391651:245237
-x65,96:9956389,13391651
-x65,96:10698776,13391651
-x65,96:12446194,13391651
-k65,96:12681705,13391651:235511
-x65,96:13642712,13391651
-k65,96:13878224,13391651:235512
-x65,96:15188402,13391651
-x65,96:16454537,13391651
-k65,96:16690048,13391651:235511
-x65,96:17083264,13391651
-x65,96:17956979,13391651
-x65,96:18524770,13391651
-x65,96:18786649,13391651
-k65,100:18786649,13391651:0
-)
-(65,100:2797019,14341923:15989630,536337,170256
-x65,100:4020691,14341923
-k65,97:4284507,14341923:263816
-x65,97:4895557,14341923
-x65,97:6424355,14341923
-k65,97:6688171,14341923:263816
-x65,97:7300007,14341923
-k65,97:7563823,14341923:263816
-x65,97:8656169,14341923
-k65,97:8919985,14341923:263816
-x65,97:10055588,14341923
-k65,97:10319404,14341923:263816
-x65,97:12088069,14341923
-k65,97:12533485,14341923:445416
-x65,97:13931744,14341923
-k65,97:14195560,14341923:263816
-x65,97:16292958,14341923
-k65,97:16556774,14341923:263816
-x65,97:17910997,14341923
-k65,97:18174813,14341923:263816
-x65,97:18786649,14341923
-k65,97:18786649,14341923:0
-)
-(65,100:2797019,15292195:15989630,536337,170256
-x65,100:3539406,15292195
-x65,100:4849584,15292195
-k65,97:5078092,15292195:228508
-x65,97:5645883,15292195
-k65,97:5874391,15292195:228508
-x65,97:7796415,15292195
-k65,97:8024924,15292195:228509
-x65,97:9029976,15292195
-x65,97:10602819,15292195
-k65,97:10831327,15292195:228508
-x65,97:11180498,15292195
-k65,97:11409006,15292195:228508
-x65,97:12370013,15292195
-x65,97:13592899,15292195
-k65,97:13821407,15292195:228508
-x65,97:14476502,15292195
-k65,97:14705011,15292195:228509
-x65,97:15666018,15292195
-k65,98:15894526,15292195:228508
-x65,98:17466583,15292195
-k65,98:17695091,15292195:228508
-x65,98:18786649,15292195
-k65,98:18786649,15292195:0
-)
-(65,100:2797019,16242467:15989630,536337,170256
-x65,100:3539406,16242467
-k65,98:3710541,16242467:171135
-x65,98:4671548,16242467
-x65,98:5602680,16242467
-k65,98:5837981,16242467:235301
-x65,98:7060877,16242467
-k65,98:7232012,16242467:171135
-x65,98:8498933,16242467
-x65,98:10115821,16242467
-k65,98:10286956,16242467:171135
-x65,98:11465797,16242467
-k65,98:11636933,16242467:171136
-x65,98:12292028,16242467
-k65,98:12463163,16242467:171135
-x65,98:13424170,16242467
-k65,98:13595305,16242467:171135
-x65,98:15037597,16242467
-k65,98:15208732,16242467:171135
-x65,98:16257033,16242467
-x65,98:17523956,16242467
-k65,98:17695091,16242467:171135
-x65,98:18786649,16242467
-k65,98:18786649,16242467:0
-)
-(65,100:2797019,17192739:15989630,536337,170256
-x65,100:3539406,17192739
-k65,98:3759610,17192739:220204
-x65,98:4501997,17192739
-x65,98:6380754,17192739
-k65,98:6600957,17192739:220203
-x65,98:7736560,17192739
-k65,98:7956764,17192739:220204
-x65,98:8481298,17192739
-k65,98:8701502,17192739:220204
-x65,98:9443889,17192739
-x65,98:10972708,17192739
-x65,98:11977760,17192739
-k65,99:12197963,17192739:220203
-x65,99:12853058,17192739
-k65,99:13073262,17192739:220204
-x65,99:14034269,17192739
-k65,99:14254472,17192739:220203
-x65,99:14996859,17192739
-x65,99:15783291,17192739
-x65,99:16612961,17192739
-k65,99:16833165,17192739:220204
-x65,99:17226381,17192739
-x65,99:17563763,17192739
-x65,99:18524770,17192739
-x65,99:18786649,17192739
-k65,100:18786649,17192739:0
-)
-(65,100:2797019,18143011:15989630,536337,170256
-x65,100:3627475,18143011
-g65,99:3824083,18143011
-x65,99:4479178,18143011
-g65,99:4675786,18143011
-x65,99:5636793,18143011
-g65,99:5833401,18143011
-x65,99:7274897,18143011
-x65,99:8585842,18143011
-g65,99:8782450,18143011
-x65,99:9437545,18143011
-x65,99:10005336,18143011
-x65,99:11425616,18143011
-k65,100:18786649,18143011:7361033
-g65,100:18786649,18143011
-)
-(65,101:2797019,20308509:15989630,665187,285084
-g65,101:5332444,20308509
-r1,31:5332444,20308509:0,950271,285084
-(65,101:5332444,20308509:0,665187,285084
-k65,101:2797019,20308509:-2535425
-(65,101:2797019,20308509:2535425,665187,285084
-x65,101:4546012,20308509
-g65,101:5332444,20308509
-r1,31:5332444,20308509:0,950271,285084
-g65,101:5332444,20308509
-)
-)
-x65,101:8217042,20308509
-g65,101:8435662,20308509
-x65,101:9266120,20308509
-x65,101:11479908,20308509
-g65,101:11698528,20308509
-x65,101:12179037,20308509
-x65,101:13427880,20308509
-r1,31:13427880,20308509:0,950271,285084
-k65,101:18786649,20308509:5358769
-g65,101:18786649,20308509
-)
-(65,106:2797019,21804526:15989630,536337,11002
-x65,106:4019915,21804526
-k65,102:4322813,21804526:302898
-x65,102:5808364,21804526
-x65,102:6768585,21804526
-k65,102:7071482,21804526:302897
-x65,102:8163828,21804526
-x65,102:9473998,21804526
-k65,102:9776896,21804526:302898
-x65,102:10257405,21804526
-x65,102:11242796,21804526
-k65,102:11545693,21804526:302897
-x65,102:12681296,21804526
-x65,102:13948217,21804526
-k65,102:14251115,21804526:302898
-x65,102:15037526,21804526
-k65,102:15340423,21804526:302897
-x65,102:16650601,21804526
-x65,102:17610822,21804526
-k65,102:17913720,21804526:302898
-x65,102:18524770,21804526
-x65,102:18786649,21804526
-k65,106:18786649,21804526:0
-)
-(65,106:2797019,22754798:15989630,536337,170256
-x65,106:4325817,22754798
-k65,102:4603908,22754798:278091
-x65,102:6111482,22754798
-k65,102:6599724,22754798:488242
-x65,102:7080223,22754798
-k65,102:7358314,22754798:278091
-x65,102:8450649,22754798
-x65,102:9760829,22754798
-k65,102:10038920,22754798:278091
-x65,102:10999927,22754798
-k65,102:11278018,22754798:278091
-x65,102:12588196,22754798
-x65,102:13548417,22754798
-k65,102:13826509,22754798:278092
-x65,102:14438345,22754798
-k65,102:14716436,22754798:278091
-x65,102:15677443,22754798
-x65,102:16018751,22754798
-k65,102:16296842,22754798:278091
-x65,102:17257849,22754798
-x65,102:18786649,22754798
-k65,103:18786649,22754798:0
-)
-(65,106:2797019,23705070:15989630,536337,170256
-x65,106:3932622,23705070
-k65,103:4251473,23705070:318851
-x65,103:5910824,23705070
-k65,103:6229676,23705070:318852
-x65,103:7190683,23705070
-k65,103:7509534,23705070:318851
-x65,103:8819712,23705070
-x65,103:10697683,23705070
-k65,103:11016535,23705070:318852
-x65,103:12326713,23705070
-k65,103:12645564,23705070:318851
-x65,103:13868450,23705070
-x65,103:15659129,23705070
-k65,103:15977981,23705070:318852
-x65,103:17506791,23705070
-k65,103:17825642,23705070:318851
-x65,103:18786649,23705070
-k65,103:18786649,23705070:0
-)
-(65,106:2797019,24655342:15989630,536337,170256
-x65,106:4107197,24655342
-x65,106:5373332,24655342
-k65,103:5582446,24655342:209114
-x65,103:7155289,24655342
-x65,103:8203600,24655342
-k65,103:8412714,24655342:209114
-x65,103:9024550,24655342
-k65,103:9233663,24655342:209113
-x65,103:9582834,24655342
-k65,103:9791948,24655342:209114
-x65,103:10185164,24655342
-x65,103:10562655,24655342
-x65,103:11677801,24655342
-x65,103:11831161,24655342
-k65,103:12112471,24655342:281310
-x65,103:13990461,24655342
-k65,103:14199574,24655342:209113
-x65,103:14548745,24655342
-k65,104:14199574,24655342:-19661
-x65,104:14922300,24655342
-x65,104:15521561,24655342
-k65,104:15730675,24655342:209114
-x65,104:16691682,24655342
-k65,104:16900796,24655342:209114
-x65,104:17294012,24655342
-x65,104:17671503,24655342
-x65,104:18786649,24655342
-k65,104:18786649,24655342:0
-)
-(65,106:2797019,25605614:15989630,536337,170256
-x65,106:3321553,25605614
-k65,104:3565357,25605614:243804
-x65,104:5378843,25605614
-k65,104:5634446,25605614:255603
-x65,104:6770816,25605614
-k65,104:7014619,25605614:243803
-x65,104:8281540,25605614
-k65,104:8525344,25605614:243804
-x65,104:10272775,25605614
-k65,104:10516579,25605614:243804
-x65,104:10865750,25605614
-k65,104:11109554,25605614:243804
-x65,104:12376475,25605614
-x65,104:13773947,25605614
-k65,104:14017750,25605614:243803
-x65,104:14629586,25605614
-k65,104:14873390,25605614:243804
-x65,104:15834397,25605614
-k65,104:16078201,25605614:243804
-x65,104:17738338,25605614
-x65,104:18786649,25605614
-k65,104:18786649,25605614:0
-)
-(65,106:2797019,26555886:15989630,536337,11002
-x65,106:3889365,26555886
-x65,106:5199535,26555886
-g65,104:5396143,26555886
-x65,104:5876652,26555886
-x65,104:6862043,26555886
-g65,104:7058651,26555886
-x65,104:7670487,26555886
-g65,104:7867095,26555886
-x65,104:9395895,26555886
-g65,104:9592503,26555886
-x65,104:10553510,26555886
-g65,104:10750118,26555886
-x65,104:12060296,26555886
-g65,105:12256904,26555886
-x65,105:12868740,26555886
-g65,105:13065348,26555886
-x65,105:14026355,26555886
-g65,105:14222963,26555886
-x65,105:15489894,26555886
-x65,105:17215312,26555886
-k65,106:18786649,26555886:1571337
-g65,106:18786649,26555886
-)
-(65,107:2797019,28721384:15989630,665187,285084
-g65,107:5332444,28721384
-r1,31:5332444,28721384:0,950271,285084
-(65,107:5332444,28721384:0,665187,285084
-k65,107:2797019,28721384:-2535425
-(65,107:2797019,28721384:2535425,665187,285084
-x65,107:4546012,28721384
-g65,107:5332444,28721384
-r1,31:5332444,28721384:0,950271,285084
-g65,107:5332444,28721384
-)
-)
-x65,107:7910343,28721384
-x65,107:8355457,28721384
-g65,107:8574077,28721384
-x65,107:9404535,28721384
-x65,107:11618323,28721384
-g65,107:11836943,28721384
-x65,107:12317452,28721384
-x65,107:13566295,28721384
-r1,31:13566295,28721384:0,950271,285084
-k65,107:18786649,28721384:5220354
-g65,107:18786649,28721384
-)
-(65,114:2797019,30217402:15989630,536337,170256
-x65,114:4019915,30217402
-k65,108:4272369,30217402:252454
-x65,108:8554427,30217402
-k65,108:8806880,30217402:252453
-x65,108:9461975,30217402
-k65,108:9714429,30217402:252454
-x65,108:10675436,30217402
-k65,108:10927890,30217402:252454
-x65,108:13636338,30217402
-k65,108:13888792,30217402:252454
-x65,108:16291308,30217402
-k65,108:16543761,30217402:252453
-x65,108:17024270,30217402
-x65,108:18009661,30217402
-k65,108:18262115,30217402:252454
-x65,108:18786649,30217402
-k65,108:18786649,30217402:0
-)
-(65,114:2797019,31167674:15989630,536337,11002
-x65,114:3408855,31167674
-k65,108:3712508,31167674:303653
-x65,108:5065945,31167674
-k65,108:5369599,31167674:303654
-x65,108:6505202,31167674
-k65,108:6808855,31167674:303653
-x65,108:8424946,31167674
-k65,108:8728599,31167674:303653
-x65,108:10038777,31167674
-k65,108:10342431,31167674:303654
-x65,108:11871241,31167674
-k65,108:12174894,31167674:303653
-x65,108:13310497,31167674
-k65,108:13614150,31167674:303653
-x65,108:14225986,31167674
-k65,108:14529640,31167674:303654
-x65,108:15490647,31167674
-k65,108:15794300,31167674:303653
-x65,108:17061231,31167674
-x65,108:18786649,31167674
-k65,109:18786649,31167674:0
-)
-(65,114:2797019,32117946:15989630,536337,170256
-x65,114:4195278,32117946
-k65,109:4356701,32117946:161423
-x65,109:5623622,32117946
-k65,109:5785044,32117946:161422
-x65,109:6876602,32117946
-k65,109:7038025,32117946:161423
-x65,109:8566037,32117946
-x65,109:8939592,32117946
-x65,109:9276974,32117946
-k65,109:9438396,32117946:161422
-x65,109:12408703,32117946
-k65,109:12570126,32117946:161423
-x65,109:14098936,32117946
-k65,109:14260359,32117946:161423
-x65,109:15002746,32117946
-x65,109:15384173,32117946
-k65,109:15545595,32117946:161422
-x65,109:17161697,32117946
-k65,109:17323120,32117946:161423
-x65,109:18786649,32117946
-k65,109:18786649,32117946:0
-)
-(65,114:2797019,33068218:15989630,536337,170256
-x65,114:3320777,33068218
-k65,110:3540277,33068218:219500
-x65,110:3889448,33068218
-k65,110:4108949,33068218:219501
-x65,110:6773342,33068218
-k65,110:6992842,33068218:219500
-x65,110:9045409,33068218
-k65,110:9264909,33068218:219500
-x65,110:9832710,33068218
-x65,110:11091768,33068218
-k65,110:11311269,33068218:219501
-x65,110:11923105,33068218
-k65,110:12142605,33068218:219500
-x65,110:13758696,33068218
-k65,110:13978196,33068218:219500
-x65,110:15288374,33068218
-k65,110:15507874,33068218:219500
-x65,110:16119710,33068218
-k65,110:16339211,33068218:219501
-x65,110:17300218,33068218
-k65,110:17519718,33068218:219500
-x65,110:18786649,33068218
-k65,114:18786649,33068218:0
-)
-(65,114:2797019,34018490:15989630,536337,170256
-x65,114:4522437,34018490
-k65,110:4687674,34018490:165237
-x65,110:5648681,34018490
-k65,110:5806075,34018490:157394
-x65,110:8514523,34018490
-k65,110:8671917,34018490:157394
-x65,110:11074433,34018490
-k65,110:11231827,34018490:157394
-x65,110:11712336,34018490
-x65,110:12697727,34018490
-k65,110:12855121,34018490:157394
-x65,110:14514472,34018490
-k65,110:14671866,34018490:157394
-x65,110:15632873,34018490
-k65,110:15790267,34018490:157394
-x65,110:17100445,34018490
-k65,111:17257839,34018490:157394
-x65,111:18786649,34018490
-k65,111:18786649,34018490:0
-)
-(65,114:2797019,34968762:15989630,536337,170256
-x65,114:3758026,34968762
-k65,111:3981772,34968762:223746
-x65,111:6646165,34968762
-k65,111:6869910,34968762:223745
-x65,111:8005513,34968762
-k65,111:8229259,34968762:223746
-x65,111:9976690,34968762
-k65,111:10200435,34968762:223745
-x65,111:10637675,34968762
-k65,111:10861421,34968762:223746
-x65,111:11473257,34968762
-k65,111:11697002,34968762:223745
-x65,111:12658009,34968762
-k65,111:12881755,34968762:223746
-x65,111:14148686,34968762
-x65,111:15874104,34968762
-k65,111:16199308,34968762:325204
-x65,111:16723066,34968762
-k65,111:16946812,34968762:223746
-x65,111:17295983,34968762
-k65,111:17519728,34968762:223745
-x65,111:18786649,34968762
-k65,111:18786649,34968762:0
-)
-(65,114:2797019,35919034:15989630,536337,170256
-x65,114:3364820,35919034
-x65,111:4623878,35919034
-k65,111:4840224,35919034:216346
-x65,111:5452060,35919034
-k65,111:5668405,35919034:216345
-x65,111:7021842,35919034
-k65,111:7238188,35919034:216346
-x65,111:8548366,35919034
-k65,111:8764711,35919034:216345
-x65,111:10293521,35919034
-k65,111:10509867,35919034:216346
-x65,111:11470874,35919034
-k65,111:11687219,35919034:216345
-x65,111:12954150,35919034
-x65,111:14679568,35919034
-k65,112:14900848,35919034:221280
-x65,112:15861855,35919034
-k65,112:16078201,35919034:216346
-x65,112:18786649,35919034
-k65,112:18786649,35919034:0
-)
-(65,114:2797019,36869306:15989630,536337,11002
-x65,114:5199535,36869306
-k65,112:5469878,36869306:270343
-x65,112:5950387,36869306
-x65,112:6935778,36869306
-k65,112:7206121,36869306:270343
-x65,112:8865472,36869306
-k65,112:9135815,36869306:270343
-x65,112:10096822,36869306
-k65,112:10367165,36869306:270343
-x65,112:12638352,36869306
-k65,112:12908694,36869306:270342
-x65,112:14218872,36869306
-k65,112:14489215,36869306:270343
-x65,112:16018025,36869306
-k65,112:16288368,36869306:270343
-x65,112:17249375,36869306
-k65,112:17519718,36869306:270343
-x65,112:18786649,36869306
-k65,114:18786649,36869306:0
-)
-(65,114:2797019,37819578:15989630,536337,170256
-x65,114:4325829,37819578
-k65,112:4553116,37819578:227287
-x65,112:5688719,37819578
-k65,112:5916006,37819578:227287
-x65,112:7838011,37819578
-k65,112:8065298,37819578:227287
-x65,112:8502538,37819578
-k65,112:8729825,37819578:227287
-x65,112:9341661,37819578
-k65,112:9568948,37819578:227287
-x65,112:9918119,37819578
-k65,112:10145406,37819578:227287
-x65,112:12809799,37819578
-k65,113:13037086,37819578:227287
-x65,113:14959110,37819578
-k65,113:15186397,37819578:227287
-x65,113:15710931,37819578
-k65,113:15938218,37819578:227287
-x65,113:17292441,37819578
-k65,113:17519728,37819578:227287
-x65,113:18786649,37819578
-k65,113:18786649,37819578:0
-)
-(65,114:2797019,38769850:15989630,536337,170256
-x65,114:3408855,38769850
-g65,113:3605463,38769850
-x65,113:4566470,38769850
-g65,113:4763078,38769850
-x65,113:8039317,38769850
-g65,113:8235925,38769850
-x65,113:9699454,38769850
-k65,114:18786649,38769850:9087195
-g65,114:18786649,38769850
-)
-(68,4:2797019,41164724:15989630,825750,353898
-g68,4:5052483,41164724
-r1,31:5052483,41164724:0,1179648,353898
-(68,4:5052483,41164724:0,825750,353898
-k68,4:2797019,41164724:-2255464
-(68,4:2797019,41164724:2255464,825750,353898
-x68,4:4108765,41164724
-g68,4:5052483,41164724
-r1,31:5052483,41164724:0,1179648,353898
-g68,4:5052483,41164724
-)
-)
-x68,4:10294805,41164724
-g68,4:10557149,41164724
-x68,4:11605607,41164724
-x68,4:12111438,41164724
-x68,4:16087274,41164724
-r1,31:16087274,41164724:0,1179648,353898
-k68,4:18786649,41164724:2699375
-g68,4:18786649,41164724
-)
-(68,7:2797019,42660742:15989630,536337,170256
-x68,7:4019915,42660742
-k68,6:4203262,42660742:183347
-x68,6:5382891,42660742
-x68,6:5950692,42660742
-x68,6:6903050,42660742
-k68,6:7086397,42660742:183347
-x68,6:8222000,42660742
-x68,6:9576982,42660742
-k68,6:9760329,42660742:183347
-x68,6:10415424,42660742
-k68,6:10598771,42660742:183347
-x68,6:11253856,42660742
-x68,6:11627411,42660742
-x68,6:12794463,42660742
-k68,6:12977810,42660742:183347
-x68,6:14332033,42660742
-x68,6:15118465,42660742
-x68,6:16975210,42660742
-k68,6:17214582,42660742:239372
-x68,6:18786649,42660742
-k68,6:18786649,42660742:0
-)
-(68,7:2797019,43611014:15989630,536337,170256
-x68,7:4151242,43611014
-x68,7:4937674,43611014
-x68,7:6291897,43611014
-k68,6:6627059,43611014:335162
-x68,6:7675360,43611014
-k68,6:8010522,43611014:335162
-x68,6:8753676,43611014
-k68,6:9088839,43611014:335163
-x68,6:10224442,43611014
-x68,6:10443062,43611014
-x68,6:12102411,43611014
-k68,6:12437573,43611014:335162
-x68,6:13835055,43611014
-x68,6:15058727,43611014
-x68,6:15626518,43611014
-x68,6:16456974,43611014
-k68,6:16792136,43611014:335162
-x68,6:17447221,43611014
-k68,6:17782383,43611014:335162
-x68,6:18524770,43611014
-x68,6:18786649,43611014
-k68,7:18786649,43611014:0
-)
-(68,7:2797019,44561286:15989630,536337,9038
-x68,7:5068206,44561286
-g68,6:5264814,44561286
-x68,6:6007201,44561286
-x68,6:7469954,44561286
-k68,7:18786649,44561286:11316695
-g68,7:18786649,44561286
-)
-(1,21:2797019,45530168:15989630,536337,170256
-h68,16:2797019,45530168:770040,0,0
-x68,16:5620412,45530168
-k68,16:5873334,45530168:252922
-x68,16:6266550,45530168
-k68,16:6519473,45530168:252923
-x68,16:8005014,45530168
-k68,16:8257936,45530168:252922
-x68,16:8869772,45530168
-x68,16:9243327,45530168
-x68,16:9580709,45530168
-k68,16:9833632,45530168:252923
-x68,16:10576019,45530168
-k68,16:10828941,45530168:252922
-x68,16:11222157,45530168
-x68,16:11603584,45530168
-x68,16:13163852,45530168
-x68,16:13711992,45530168
-k68,16:13964914,45530168:252922
-x68,16:14620009,45530168
-k68,16:14872932,45530168:252923
-x68,16:15833939,45530168
-k68,16:16086861,45530168:252922
-x68,16:17266490,45530168
-x68,16:17834291,45530168
-x68,16:18786649,45530168
-k68,16:18786649,45530168:0
-)
-(1,21:2797019,46480440:15989630,536337,170256
-x1,21:4151242,46480440
-x1,21:4937674,46480440
-x1,21:6597811,46480440
-k68,16:6846426,46480440:248615
-x68,16:7982029,46480440
-k68,16:8230644,46480440:248615
-x68,16:9017076,46480440
-x68,16:9565216,46480440
-k68,16:9813831,46480440:248615
-x68,16:11124009,46480440
-k68,16:11372624,46480440:248615
-x68,16:12332845,46480440
-k68,16:12581460,46480440:248615
-x68,16:13192510,46480440
-x68,16:14721308,46480440
-k68,16:14969923,46480440:248615
-x68,16:15581759,46480440
-k68,16:15830374,46480440:248615
-x68,16:17271103,46480440
-k68,16:17519718,46480440:248615
-x68,16:18524770,46480440
-x68,16:18786649,46480440
-k1,21:18786649,46480440:0
-)
-(1,21:2797019,47430712:15989630,357825,9038
-x1,21:3910591,47430712
-g68,16:4154383,47430712
-k1,21:18786649,47430712:14632266
-g1,21:18786649,47430712
-)
-(69,4:2797019,49596210:15989630,665187,285084
-g69,4:5332444,49596210
-r1,31:5332444,49596210:0,950271,285084
-(69,4:5332444,49596210:0,665187,285084
-k69,4:2797019,49596210:-2535425
-(69,4:2797019,49596210:2535425,665187,285084
-x69,4:4546012,49596210
-g69,4:5332444,49596210
-r1,31:5332444,49596210:0,950271,285084
-g69,4:5332444,49596210
-)
-)
-x69,4:5900245,49596210
-x69,4:7768001,49596210
-g69,4:7986621,49596210
-x69,4:8817079,49596210
-x69,4:11030867,49596210
-g69,4:11249487,49596210
-x69,4:11729996,49596210
-x69,4:12978839,49596210
-r1,31:12978839,49596210:0,950271,285084
-k69,4:18786649,49596210:5807810
-g69,4:18786649,49596210
-)
-(70,4:2797019,51092228:15989630,536337,170256
-x70,4:3846106,51092228
-g69,6:4042714,51092228
-x69,6:6532519,51092228
-g69,6:6729127,51092228
-x69,6:10223986,51092228
-g69,6:10420594,51092228
-x69,6:11970639,51092228
-g69,6:12214431,51092228
-k70,4:18786649,51092228:6572218
-g70,4:18786649,51092228
-)
-]
-g1,31:18786649,51092228
-)
-k1,31:19579138,51092228:792489
-r1,31:19579138,51092228:0,45684659,0
-k1,31:20371627,51092228:792489
-(1,31:20371627,51092228:15989630,45684659,0
-[1,31:20371627,51092228:15989630,45684659,0
-[68,14:20371627,18946491:15989630,13538922,0
-[68,14:20371627,18946491:15989630,13538922,0
-(68,12:20371627,17399842:15989630,11992273,0
-g68,12:20371627,17399842
-h68,11:20371627,17399842:0,0,0
-(68,11:20371627,17399842:15989630,11992273,0
-(68,11:20371627,17399842:15989737,11992302,0
-(68,11:20371627,17399842:15989737,11992302,0
-(68,11:20371627,17399842:0,35522150,0
-(68,11:20371627,17399842:47362867,35522150,0
-)
-k68,11:20371626,17399842:-47362868
-)
-)
-)
-)
-g68,12:36361257,17399842
-g68,12:36361257,17399842
-)
-(68,12:20371627,18679102:15989630,623900,267389
-h68,12:20371627,18679102:0,0,0
-g68,12:22236306,18679102
-[68,12:22236306,18679102:12260272,623900,267389
-(68,12:22236306,18679102:12260272,623900,267389
-k68,12:22733200,18679102:496894
-h68,12:22733200,18679102:0,0,0
-x68,12:24926220,18679102
-g68,12:25125711,18679102
-x68,12:25763666,18679102
-g68,12:26010513,18679102
-r1,31:26010513,18679102:0,891289,267389
-g68,12:26010513,18679102
-x68,12:27724886,18679102
-x68,12:28593911,18679102
-g68,12:28773315,18679102
-x68,12:30886670,18679102
-g68,12:31066074,18679102
-x68,12:31943001,18679102
-x68,12:33366743,18679102
-x68,12:33866920,18679102
-x68,12:33999685,18679102
-r1,31:33999685,18679102:0,267389,267389
-g68,12:33999685,18679102
-k68,12:34496578,18679102:496893
-)
-]
-k68,12:36361257,18679102:1864679
-g68,12:36361257,18679102
-)
-]
-]
-(70,4:20371627,21043643:15989630,665187,285084
-g70,4:22907052,21043643
-r1,31:22907052,21043643:0,950271,285084
-(70,4:22907052,21043643:0,665187,285084
-k70,4:20371627,21043643:-2535425
-(70,4:20371627,21043643:2535425,665187,285084
-x70,4:22120620,21043643
-g70,4:22907052,21043643
-r1,31:22907052,21043643:0,950271,285084
-g70,4:22907052,21043643
-)
-)
-x70,4:24261274,21043643
-g70,4:24479894,21043643
-x70,4:25310352,21043643
-x70,4:27524140,21043643
-g70,4:27742760,21043643
-x70,4:28223269,21043643
-x70,4:29472112,21043643
-r1,31:29472112,21043643:0,950271,285084
-k70,4:36361257,21043643:6889145
-g70,4:36361257,21043643
-)
-(71,4:20371627,22501819:15989630,536337,170256
-x71,4:21420714,22501819
-g70,6:21617322,22501819
-x70,6:24107127,22501819
-g70,6:24303735,22501819
-x70,6:27798594,22501819
-g70,6:27995202,22501819
-x70,6:29545247,22501819
-g70,6:29789039,22501819
-k71,4:36361257,22501819:6572218
-g71,4:36361257,22501819
-)
-(71,4:20371627,24552550:15989630,665187,285084
-g71,4:22907052,24552550
-r1,31:22907052,24552550:0,950271,285084
-(71,4:22907052,24552550:0,665187,285084
-k71,4:20371627,24552550:-2535425
-(71,4:20371627,24552550:2535425,665187,285084
-x71,4:22120620,24552550
-g71,4:22907052,24552550
-r1,31:22907052,24552550:0,950271,285084
-g71,4:22907052,24552550
-)
-)
-x71,4:24829078,24552550
-g71,4:25047698,24552550
-x71,4:27713676,24552550
-g71,4:27932296,24552550
-x71,4:28762754,24552550
-x71,4:30976542,24552550
-g71,4:31195162,24552550
-x71,4:31675671,24552550
-x71,4:32924514,24552550
-r1,31:32924514,24552550:0,950271,285084
-k71,4:36361257,24552550:3436743
-g71,4:36361257,24552550
-)
-(72,4:20371627,26010726:15989630,536337,170256
-x72,4:21420714,26010726
-g71,6:21617322,26010726
-x71,6:24107127,26010726
-g71,6:24303735,26010726
-x71,6:27798594,26010726
-g71,6:27995202,26010726
-x71,6:29545247,26010726
-g71,6:29789039,26010726
-k72,4:36361257,26010726:6572218
-g72,4:36361257,26010726
-)
-(72,4:20371627,28061457:15989630,665187,285084
-g72,4:22907052,28061457
-r1,31:22907052,28061457:0,950271,285084
-(72,4:22907052,28061457:0,665187,285084
-k72,4:20371627,28061457:-2535425
-(72,4:20371627,28061457:2535425,665187,285084
-x72,4:22120620,28061457
-g72,4:22907052,28061457
-r1,31:22907052,28061457:0,950271,285084
-g72,4:22907052,28061457
-)
-)
-x72,4:25791650,28061457
-g72,4:26010270,28061457
-x72,4:26840728,28061457
-x72,4:29054516,28061457
-g72,4:29273136,28061457
-x72,4:29753645,28061457
-x72,4:31002488,28061457
-r1,31:31002488,28061457:0,950271,285084
-k72,4:36361257,28061457:5358769
-g72,4:36361257,28061457
-)
-(73,4:20371627,29519633:15989630,536337,170256
-x73,4:21420714,29519633
-g72,6:21617322,29519633
-x72,6:24107127,29519633
-g72,6:24303735,29519633
-x72,6:27798594,29519633
-g72,6:27995202,29519633
-x72,6:29545247,29519633
-g72,6:29789039,29519633
-k73,4:36361257,29519633:6572218
-g73,4:36361257,29519633
-)
-(73,4:20371627,31570364:15989630,665187,285084
-g73,4:22907052,31570364
-r1,31:22907052,31570364:0,950271,285084
-(73,4:22907052,31570364:0,665187,285084
-k73,4:20371627,31570364:-2535425
-(73,4:20371627,31570364:2535425,665187,285084
-x73,4:22120620,31570364
-g73,4:22907052,31570364
-r1,31:22907052,31570364:0,950271,285084
-g73,4:22907052,31570364
-)
-)
-x73,4:25310363,31570364
-x73,4:25775147,31570364
-g73,4:25993767,31570364
-x73,4:26824225,31570364
-x73,4:29038013,31570364
-g73,4:29256633,31570364
-x73,4:29737142,31570364
-x73,4:30985985,31570364
-r1,31:30985985,31570364:0,950271,285084
-k73,4:36361257,31570364:5375272
-g73,4:36361257,31570364
-)
-(1,27:20371627,33028540:15989630,536337,170256
-x1,27:21420714,33028540
-g73,6:21617322,33028540
-x73,6:24107127,33028540
-g73,6:24303735,33028540
-x73,6:27798594,33028540
-g73,6:27995202,33028540
-x73,6:29545247,33028540
-g73,6:29789039,33028540
-k1,27:36361257,33028540:6572218
-g1,27:36361257,33028540
-)
-]
-g1,31:36361257,51092228
-)
-)
-]
-(1,31:2797019,53889247:33564238,0,0
-h1,31:2797019,53889247:33564238,0,0
-)
-]
-]
-]
-)
-!30042
-}5
-Input:74:D:\Data\FH\8.Semester\MurSat\mur.sat\doc\MPU\MPU.aux
-!72
-Postamble:
-Count:3739
-!26
-Post scriptum: