summaryrefslogtreecommitdiff
path: root/blink
diff options
context:
space:
mode:
Diffstat (limited to 'blink')
-rw-r--r--blink/led.c2
1 files changed, 1 insertions, 1 deletions
diff --git a/blink/led.c b/blink/led.c
index 5f4cb02..d24af16 100644
--- a/blink/led.c
+++ b/blink/led.c
@@ -36,7 +36,7 @@
void led_init(void)
{
PORTE = 0x00;
- DDRE = 0x00;
+ DDRE = 1<<PORTE6;
}
void led_on(void)