summaryrefslogtreecommitdiff
path: root/blink/blink.c
diff options
context:
space:
mode:
Diffstat (limited to 'blink/blink.c')
-rw-r--r--blink/blink.c2
1 files changed, 1 insertions, 1 deletions
diff --git a/blink/blink.c b/blink/blink.c
index 94c7bfb..ef1ebb0 100644
--- a/blink/blink.c
+++ b/blink/blink.c
@@ -32,7 +32,7 @@ int main(void)
led_init();
for(;;) {
- _delay_ms(250);
+ _delay_ms(200);
led_toggle();
}
}