summaryrefslogtreecommitdiff
path: root/blink/led.c
diff options
context:
space:
mode:
authorChristian Pointner <equinox@spreadspace.org>2012-05-20 15:57:23 +0000
committerChristian Pointner <equinox@spreadspace.org>2012-05-20 15:57:23 +0000
commitdc2c93c43950586099a30ea46cf33efd5cfe147c (patch)
treee19f95790af1c95826a84eb4e7efc2e8a8c11b8a /blink/led.c
parentadded support for arduinoNG (diff)
added support for arduino2009v2, arduino10000, arduinoUno
git-svn-id: https://svn.spreadspace.org/avr/trunk@20 aa12f405-d877-488e-9caf-2d797e2a1cc7
Diffstat (limited to 'blink/led.c')
-rw-r--r--blink/led.c17
1 files changed, 15 insertions, 2 deletions
diff --git a/blink/led.c b/blink/led.c
index 0e9292e..ba4b681 100644
--- a/blink/led.c
+++ b/blink/led.c
@@ -23,12 +23,17 @@
#include "avr/io.h"
#include "led.h"
+#define HAS_LED 1
+#if defined(__BOARD_arduinoUno__)
+#define HAS_LED 0
+#endif
+
#define LED_DIR 1
#if defined(__BOARD_teensy1__) || defined(__BOARD_teensy1pp__)
#define LED_DIR 0
#endif
-#if defined(__BOARD_teensy2__) || defined(__BOARD_teensy2pp__) || defined(__BOARD_teensy2__) || defined(__BOARD_teensy2pp__)
+#if defined(__BOARD_teensy1__) || defined(__BOARD_teensy1pp__) || defined(__BOARD_teensy2__) || defined(__BOARD_teensy2pp__)
#define LED_PORT PORTD
#define LED_DDR DDRD
#define LED_PINNUM 6
@@ -40,7 +45,7 @@
#define LED_PINNUM 6
#endif
-#if defined(__BOARD_arduino2009__) || defined(__BOARD_arduinoNG__)
+#if defined(__BOARD_arduino2009v2__) || defined(__BOARD_arduino2009__) || defined(__BOARD_arduino10000__) || defined(__BOARD_arduinoNG__)
#define LED_PORT PORTB
#define LED_DDR DDRB
#define LED_PINNUM 5
@@ -48,29 +53,37 @@
void led_init(void)
{
+#if HAS_LED == 1
led_off();
LED_DDR = 1<<LED_PINNUM;
+#endif
}
void led_on(void)
{
+#if HAS_LED == 1
#if LED_DIR == 1
LED_PORT |= 1<<LED_PINNUM;
#else
LED_PORT &= ~(1<<LED_PINNUM);
#endif
+#endif
}
void led_off(void)
{
+#if HAS_LED == 1
#if LED_DIR == 1
LED_PORT &= ~(1<<LED_PINNUM);
#else
LED_PORT |= 1<<LED_PINNUM;
#endif
+#endif
}
void led_toggle(void)
{
+#if HAS_LED == 1
LED_PORT ^= 1<<LED_PINNUM;
+#endif
}